summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame/video/digdug.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/mame/video/digdug.cpp')
-rw-r--r--src/mame/video/digdug.cpp4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/mame/video/digdug.cpp b/src/mame/video/digdug.cpp
index 026bdafe5eb..a5d6d538a28 100644
--- a/src/mame/video/digdug.cpp
+++ b/src/mame/video/digdug.cpp
@@ -99,7 +99,7 @@ TILE_GET_INFO_MEMBER(digdug_state::bg_get_tile_info)
tilemap RAM, therefore allowing to pick some bits of the color code from
the top 4 bits of alpha code. This feature is not used by Dig Dug. */
int color = m_bg_disable ? 0xf : (code >> 4);
- SET_TILE_INFO_MEMBER(2,
+ tileinfo.set(2,
code,
color | m_bg_color_bank,
0);
@@ -125,7 +125,7 @@ TILE_GET_INFO_MEMBER(digdug_state::tx_get_tile_info)
timing signals, while x flip is done by selecting the 2nd character set.
We reproduce this here, but since the tilemap system automatically flips
characters when screen is flipped, we have to flip them back. */
- SET_TILE_INFO_MEMBER(0,
+ tileinfo.set(0,
(code & 0x7f) | (flip_screen() ? 0x80 : 0),
color,
flip_screen() ? TILE_FLIPX : 0);