summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame/drivers/seta.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/mame/drivers/seta.cpp')
-rw-r--r--src/mame/drivers/seta.cpp208
1 files changed, 104 insertions, 104 deletions
diff --git a/src/mame/drivers/seta.cpp b/src/mame/drivers/seta.cpp
index 052fbab974e..dff9c7777b7 100644
--- a/src/mame/drivers/seta.cpp
+++ b/src/mame/drivers/seta.cpp
@@ -7706,7 +7706,7 @@ TIMER_DEVICE_CALLBACK_MEMBER(seta_state::tndrcade_sub_interrupt)
m_subcpu->set_input_line(0, HOLD_LINE);
}
-static MACHINE_CONFIG_START( tndrcade, seta_state )
+static MACHINE_CONFIG_START( tndrcade )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -7760,7 +7760,7 @@ MACHINE_CONFIG_END
/* twineagl lev 3 = lev 2 + lev 1 ! */
-static MACHINE_CONFIG_START( twineagl, seta_state )
+static MACHINE_CONFIG_START( twineagl )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -7806,7 +7806,7 @@ MACHINE_CONFIG_END
/* downtown lev 3 = lev 2 + lev 1 ! */
-static MACHINE_CONFIG_START( downtown, seta_state )
+static MACHINE_CONFIG_START( downtown )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, XTAL_16MHz/2) /* verified on pcb */
@@ -7868,7 +7868,7 @@ TIMER_DEVICE_CALLBACK_MEMBER(seta_state::calibr50_interrupt)
}
-static MACHINE_CONFIG_START( usclssic, seta_state )
+static MACHINE_CONFIG_START( usclssic )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -7923,7 +7923,7 @@ MACHINE_CONFIG_END
Test mode shows a 16ms and 4ms counters. I wonder if every game has
5 ints per frame */
-static MACHINE_CONFIG_START( calibr50, seta_state )
+static MACHINE_CONFIG_START( calibr50 )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, XTAL_16MHz/2) /* verified on pcb */
@@ -7974,7 +7974,7 @@ MACHINE_CONFIG_END
/* metafox lev 3 = lev 2 + lev 1 ! */
-static MACHINE_CONFIG_START( metafox, seta_state )
+static MACHINE_CONFIG_START( metafox )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -8018,7 +8018,7 @@ MACHINE_CONFIG_END
Athena no Hatena?
***************************************************************************/
-static MACHINE_CONFIG_START( atehate, seta_state )
+static MACHINE_CONFIG_START( atehate )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8061,7 +8061,7 @@ MACHINE_CONFIG_END
samples are bankswitched
*/
-static MACHINE_CONFIG_START( blandia, seta_state )
+static MACHINE_CONFIG_START( blandia )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8098,7 +8098,7 @@ static MACHINE_CONFIG_START( blandia, seta_state )
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
MACHINE_CONFIG_END
-static MACHINE_CONFIG_START( blandiap, seta_state )
+static MACHINE_CONFIG_START( blandiap )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8140,7 +8140,7 @@ MACHINE_CONFIG_END
Block Carnival
***************************************************************************/
-static MACHINE_CONFIG_START( blockcar, seta_state )
+static MACHINE_CONFIG_START( blockcar )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -8201,7 +8201,7 @@ static MACHINE_CONFIG_DERIVED( blockcarb, blockcar )
/* the sound hardware / program is ripped from Tetris (S16B) */
MCFG_DEVICE_REMOVE("x1snd")
- MCFG_OKIM6295_ADD("oki", 1000000, OKIM6295_PIN7_HIGH) // clock frequency & pin 7 not verified
+ MCFG_OKIM6295_ADD("oki", 1000000, PIN7_HIGH) // clock frequency & pin 7 not verified
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
MACHINE_CONFIG_END
@@ -8211,7 +8211,7 @@ MACHINE_CONFIG_END
Daioh
***************************************************************************/
-static MACHINE_CONFIG_START( daioh, seta_state )
+static MACHINE_CONFIG_START( daioh )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, XTAL_16MHz) /* 16 MHz, MC68000-16, Verified from PCB */
@@ -8248,7 +8248,7 @@ MACHINE_CONFIG_END
Daioh (prototype)
***************************************************************************/
-static MACHINE_CONFIG_START( daiohp, seta_state )
+static MACHINE_CONFIG_START( daiohp )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, XTAL_16MHz) /* 16 MHz, MC68000-16, Verified from PCB */
@@ -8290,7 +8290,7 @@ MACHINE_CONFIG_END
lev 2 drives the game
*/
-static MACHINE_CONFIG_START( drgnunit, seta_state )
+static MACHINE_CONFIG_START( drgnunit )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -8326,7 +8326,7 @@ MACHINE_CONFIG_END
/* Same as qzkklogy, but with a 16MHz CPU and different
layout for the layer's tiles */
-static MACHINE_CONFIG_START( qzkklgy2, seta_state )
+static MACHINE_CONFIG_START( qzkklgy2 )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8376,7 +8376,7 @@ TIMER_DEVICE_CALLBACK_MEMBER(seta_state::setaroul_interrupt)
}
-static MACHINE_CONFIG_START( setaroul, seta_state )
+static MACHINE_CONFIG_START( setaroul )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -8419,7 +8419,7 @@ MACHINE_CONFIG_END
Eight Force
***************************************************************************/
-static MACHINE_CONFIG_START( eightfrc, seta_state )
+static MACHINE_CONFIG_START( eightfrc )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8462,7 +8462,7 @@ MACHINE_CONFIG_END
lev 1 == lev 3 (writes to $500000, bit 4 -> 1 then 0)
lev 2 drives the game
*/
-static MACHINE_CONFIG_START( extdwnhl, seta_state )
+static MACHINE_CONFIG_START( extdwnhl )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8520,7 +8520,7 @@ MACHINE_START_MEMBER(seta_state,wrofaero){ uPD71054_timer_init(); }
lev 2: VBlank
lev 4: Sound (generated by a timer mapped at $d00000-6 ?)
*/
-static MACHINE_CONFIG_START( gundhara, seta_state )
+static MACHINE_CONFIG_START( gundhara )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8586,7 +8586,7 @@ MACHINE_CONFIG_END
lev 1 == lev 3 (writes to $500000, bit 4 -> 1 then 0)
lev 2 drives the game
*/
-static MACHINE_CONFIG_START( jjsquawk, seta_state )
+static MACHINE_CONFIG_START( jjsquawk )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8622,7 +8622,7 @@ static MACHINE_CONFIG_START( jjsquawk, seta_state )
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
MACHINE_CONFIG_END
-static MACHINE_CONFIG_START( jjsquawb, seta_state )
+static MACHINE_CONFIG_START( jjsquawb )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8662,7 +8662,7 @@ MACHINE_CONFIG_END
***************************************************************************/
/* kamenrid: lev 2 by vblank, lev 4 by timer */
-static MACHINE_CONFIG_START( kamenrid, seta_state )
+static MACHINE_CONFIG_START( kamenrid )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8705,7 +8705,7 @@ MACHINE_CONFIG_END
/* The CPU clock has been verified/measured, PCB only has one OSC and it's 14.318180 MHz */
-static MACHINE_CONFIG_START( orbs, seta_state )
+static MACHINE_CONFIG_START( orbs )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 14318180/2) /* 7.143 MHz */
@@ -8745,7 +8745,7 @@ MACHINE_CONFIG_END
Kero Kero Keroppi no Issyoni Asobou
***************************************************************************/
-static MACHINE_CONFIG_START( keroppij, seta_state )
+static MACHINE_CONFIG_START( keroppij )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 14318180/2) /* 7.143 MHz */
@@ -8788,7 +8788,7 @@ MACHINE_CONFIG_END
Krazy Bowl
***************************************************************************/
-static MACHINE_CONFIG_START( krzybowl, seta_state )
+static MACHINE_CONFIG_START( krzybowl )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8826,7 +8826,7 @@ MACHINE_CONFIG_END
***************************************************************************/
/* madshark: lev 2 by vblank, lev 4 by timer */
-static MACHINE_CONFIG_START( madshark, seta_state )
+static MACHINE_CONFIG_START( madshark )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8872,7 +8872,7 @@ MACHINE_CONFIG_END
***************************************************************************/
/* magspeed: lev 2 by vblank, lev 4 by timer */
-static MACHINE_CONFIG_START( magspeed, seta_state )
+static MACHINE_CONFIG_START( magspeed )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8917,7 +8917,7 @@ MACHINE_CONFIG_END
/* msgundam lev 2 == lev 6 ! */
-static MACHINE_CONFIG_START( msgundam, seta_state )
+static MACHINE_CONFIG_START( msgundam )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -8964,7 +8964,7 @@ MACHINE_CONFIG_END
Oishii Puzzle
***************************************************************************/
-static MACHINE_CONFIG_START( oisipuzl, seta_state )
+static MACHINE_CONFIG_START( oisipuzl )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9003,7 +9003,7 @@ MACHINE_CONFIG_END
/* same as oisipuzl but with different interrupts and sound */
-static MACHINE_CONFIG_START( triplfun, seta_state )
+static MACHINE_CONFIG_START( triplfun )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9031,7 +9031,7 @@ static MACHINE_CONFIG_START( triplfun, seta_state )
/* sound hardware */
MCFG_SPEAKER_STANDARD_STEREO("lspeaker", "rspeaker")
- MCFG_OKIM6295_ADD("oki", 792000, OKIM6295_PIN7_HIGH) // clock frequency & pin 7 not verified
+ MCFG_OKIM6295_ADD("oki", 792000, PIN7_HIGH) // clock frequency & pin 7 not verified
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "lspeaker", 1.0)
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "rspeaker", 1.0)
MACHINE_CONFIG_END
@@ -9040,7 +9040,7 @@ MACHINE_CONFIG_END
Pro Mahjong Kiwame
***************************************************************************/
-static MACHINE_CONFIG_START( kiwame, seta_state )
+static MACHINE_CONFIG_START( kiwame )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9084,7 +9084,7 @@ MACHINE_CONFIG_END
/* pretty much like wrofaero, but ints are 1&2, not 2&4 */
-static MACHINE_CONFIG_START( rezon, seta_state )
+static MACHINE_CONFIG_START( rezon )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9125,7 +9125,7 @@ MACHINE_CONFIG_END
/* thunderl lev 2 = lev 3 - other levels lead to an error */
-static MACHINE_CONFIG_START( thunderl, seta_state )
+static MACHINE_CONFIG_START( thunderl )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -9193,7 +9193,7 @@ static MACHINE_CONFIG_DERIVED( thunderlbl, thunderl )
MACHINE_CONFIG_END
-static MACHINE_CONFIG_START( wiggie, seta_state )
+static MACHINE_CONFIG_START( wiggie )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -9224,7 +9224,7 @@ static MACHINE_CONFIG_START( wiggie, seta_state )
/* sound hardware */
MCFG_SPEAKER_STANDARD_MONO("mono")
- MCFG_OKIM6295_ADD("oki", 1000000, OKIM6295_PIN7_HIGH)
+ MCFG_OKIM6295_ADD("oki", 1000000, PIN7_HIGH)
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
MACHINE_CONFIG_END
@@ -9233,7 +9233,7 @@ static MACHINE_CONFIG_DERIVED( superbar, wiggie )
MCFG_GFXDECODE_MODIFY("gfxdecode", superbar)
MACHINE_CONFIG_END
-static MACHINE_CONFIG_START( wits, seta_state )
+static MACHINE_CONFIG_START( wits )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -9270,7 +9270,7 @@ MACHINE_CONFIG_END
Ultraman Club / SD Gundam Neo Battling
***************************************************************************/
-static MACHINE_CONFIG_START( umanclub, seta_state )
+static MACHINE_CONFIG_START( umanclub )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9307,7 +9307,7 @@ MACHINE_CONFIG_END
Ultra Toukond Densetsu
***************************************************************************/
-static MACHINE_CONFIG_START( utoukond, seta_state )
+static MACHINE_CONFIG_START( utoukond )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9356,7 +9356,7 @@ MACHINE_CONFIG_END
War of Aero
***************************************************************************/
-static MACHINE_CONFIG_START( wrofaero, seta_state )
+static MACHINE_CONFIG_START( wrofaero )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9410,7 +9410,7 @@ MACHINE_CONFIG_END
at int 1 is necessary: it plays the background music.
*/
-static MACHINE_CONFIG_START( zingzip, seta_state )
+static MACHINE_CONFIG_START( zingzip )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9459,7 +9459,7 @@ static MACHINE_CONFIG_DERIVED( zingzipbl, zingzip )
MCFG_DEVICE_REMOVE("x1snd")
- MCFG_OKIM6295_ADD("oki", 1000000, OKIM6295_PIN7_HIGH)
+ MCFG_OKIM6295_ADD("oki", 1000000, PIN7_HIGH)
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
MACHINE_CONFIG_END
@@ -9467,7 +9467,7 @@ MACHINE_CONFIG_END
Pairs Love
***************************************************************************/
-static MACHINE_CONFIG_START( pairlove, seta_state )
+static MACHINE_CONFIG_START( pairlove )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000/2) /* 8 MHz */
@@ -9515,7 +9515,7 @@ TIMER_DEVICE_CALLBACK_MEMBER(seta_state::crazyfgt_interrupt)
m_maincpu->set_input_line(1, HOLD_LINE);
}
-static MACHINE_CONFIG_START( crazyfgt, seta_state )
+static MACHINE_CONFIG_START( crazyfgt )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000) /* 16 MHz */
@@ -9549,7 +9549,7 @@ static MACHINE_CONFIG_START( crazyfgt, seta_state )
MCFG_SOUND_ADD("ymsnd", YM3812, 16000000/4) /* 4 MHz */
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
- MCFG_OKIM6295_ADD("oki", 1000000, OKIM6295_PIN7_HIGH) // clock?
+ MCFG_OKIM6295_ADD("oki", 1000000, PIN7_HIGH) // clock?
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 1.0)
MACHINE_CONFIG_END
@@ -9577,7 +9577,7 @@ TIMER_DEVICE_CALLBACK_MEMBER(seta_state::inttoote_interrupt)
}
-static MACHINE_CONFIG_START( inttoote, seta_state )
+static MACHINE_CONFIG_START( inttoote )
/* basic machine hardware */
MCFG_CPU_ADD("maincpu", M68000, 16000000)
@@ -11675,8 +11675,8 @@ DRIVER_INIT_MEMBER(seta_state,inttootea)
***************************************************************************/
/* 68000 + 65C02 */
-GAME( 1987, tndrcade, 0, tndrcade, tndrcade, driver_device, 0, ROT270, "Seta (Taito license)", "Thundercade / Twin Formation" , 0) // Title/License: DSW
-GAME( 1987, tndrcadej,tndrcade, tndrcade, tndrcadj, driver_device, 0, ROT270, "Seta (Taito license)", "Tokusyu Butai U.A.G. (Japan)" , 0) // License: DSW
+GAME( 1987, tndrcade, 0, tndrcade, tndrcade, seta_state, 0, ROT270, "Seta (Taito license)", "Thundercade / Twin Formation" , 0) // Title/License: DSW
+GAME( 1987, tndrcadej,tndrcade, tndrcade, tndrcadj, seta_state, 0, ROT270, "Seta (Taito license)", "Tokusyu Butai U.A.G. (Japan)" , 0) // License: DSW
GAME( 1988, twineagl, 0, twineagl, twineagl, seta_state, twineagl, ROT270, "Seta (Taito license)", "Twin Eagle - Revenge Joe's Brother" , 0) // Country/License: DSW
@@ -11685,102 +11685,102 @@ GAME( 1989, downtown2,downtown, downtown, downtown, seta_state, downtown, ROT270
GAME( 1989, downtownj,downtown, downtown, downtown, seta_state, downtown, ROT270, "Seta", "DownTown / Mokugeki (joystick hack)" , 0) // Country/License: DSW
GAME( 1989, downtownp,downtown, downtown, downtown, seta_state, downtown, ROT270, "Seta", "DownTown / Mokugeki (prototype)" , 0) // Country/License: DSW
-GAME( 1989, usclssic, 0, usclssic, usclssic, driver_device, 0, ROT270, "Seta", "U.S. Classic" , 0) // Country/License: DSW
+GAME( 1989, usclssic, 0, usclssic, usclssic, seta_state, 0, ROT270, "Seta", "U.S. Classic" , 0) // Country/License: DSW
-GAME( 1989, calibr50, 0, calibr50, calibr50, driver_device, 0, ROT270, "Athena / Seta", "Caliber 50" , 0) // Country/License: DSW
+GAME( 1989, calibr50, 0, calibr50, calibr50, seta_state, 0, ROT270, "Athena / Seta", "Caliber 50" , 0) // Country/License: DSW
GAME( 1989, arbalest, 0, metafox, arbalest, seta_state, arbalest, ROT270, "Seta", "Arbalester" , 0) // Country/License: DSW
-GAME( 1989, metafox, 0, metafox, metafox, seta_state, metafox, ROT270, "Seta", "Meta Fox" , 0) // Country/License: DSW
+GAME( 1989, metafox, 0, metafox, metafox, seta_state, metafox, ROT270, "Seta", "Meta Fox" , 0) // Country/License: DSW
/* 68000 */
-GAME( 198?, setaroul, 0, setaroul, setaroul, driver_device, 0, ROT270, "Visco", "Visco Roulette", MACHINE_NOT_WORKING ) // I can't see a title in the GFX roms. Press F2 twice to boot..
+GAME( 198?, setaroul, 0, setaroul, setaroul, seta_state, 0, ROT270, "Visco", "Visco Roulette", MACHINE_NOT_WORKING ) // I can't see a title in the GFX roms. Press F2 twice to boot..
-GAME( 1989, drgnunit, 0, drgnunit, drgnunit, driver_device, 0, ROT0, "Seta", "Dragon Unit / Castle of Dragon", 0 )
+GAME( 1989, drgnunit, 0, drgnunit, drgnunit, seta_state, 0, ROT0, "Seta", "Dragon Unit / Castle of Dragon", 0 )
-GAME( 1989, wits, 0, wits, wits, driver_device, 0, ROT0, "Athena (Visco license)", "Wit's (Japan)" , 0) // Country/License: DSW
+GAME( 1989, wits, 0, wits, wits, seta_state, 0, ROT0, "Athena (Visco license)", "Wit's (Japan)" , 0) // Country/License: DSW
-GAME( 1990, thunderl, 0, thunderl, thunderl, driver_device,0, ROT270, "Seta", "Thunder & Lightning" , 0) // Country/License: DSW
-GAME( 1990, thunderlbl,thunderl,thunderlbl,thunderlbl,driver_device,0, ROT90, "bootleg", "Thunder & Lightning (bootleg with Tetris sound)", MACHINE_IMPERFECT_SOUND | MACHINE_NO_COCKTAIL ) // Country/License: DSW
+GAME( 1990, thunderl, 0, thunderl, thunderl, seta_state,0, ROT270, "Seta", "Thunder & Lightning" , 0) // Country/License: DSW
+GAME( 1990, thunderlbl,thunderl,thunderlbl,thunderlbl,seta_state,0, ROT90, "bootleg", "Thunder & Lightning (bootleg with Tetris sound)", MACHINE_IMPERFECT_SOUND | MACHINE_NO_COCKTAIL ) // Country/License: DSW
-GAME( 1994, wiggie, 0, wiggie, thunderl, seta_state, wiggie, ROT270, "Promat", "Wiggie Waggie", MACHINE_IMPERFECT_GRAPHICS ) // hack of Thunder & Lightning
-GAME( 1994, superbar, wiggie, superbar, thunderl, seta_state, wiggie, ROT270, "Promat", "Super Bar", MACHINE_IMPERFECT_GRAPHICS ) // hack of Thunder & Lightning
+GAME( 1994, wiggie, 0, wiggie, thunderl, seta_state, wiggie, ROT270, "Promat", "Wiggie Waggie", MACHINE_IMPERFECT_GRAPHICS ) // hack of Thunder & Lightning
+GAME( 1994, superbar, wiggie, superbar, thunderl, seta_state, wiggie, ROT270, "Promat", "Super Bar", MACHINE_IMPERFECT_GRAPHICS ) // hack of Thunder & Lightning
-GAME( 1990, jockeyc, 0, jockeyc, jockeyc, driver_device, 0, ROT0, "Seta (Visco license)", "Jockey Club", 0 )
-GAME( 1998, inttoote, jockeyc, inttoote, inttoote, seta_state, inttoote, ROT0, "Coinmaster", "International Toote (Germany)", MACHINE_NOT_WORKING | MACHINE_UNEMULATED_PROTECTION )
-GAME( 1993, inttootea,jockeyc, inttoote, inttoote, seta_state, inttootea,ROT0, "Coinmaster", "International Toote II (World?)", MACHINE_NOT_WORKING | MACHINE_UNEMULATED_PROTECTION )
+GAME( 1990, jockeyc, 0, jockeyc, jockeyc, seta_state, 0, ROT0, "Seta (Visco license)", "Jockey Club", 0 )
+GAME( 1998, inttoote, jockeyc, inttoote, inttoote, seta_state, inttoote, ROT0, "Coinmaster", "International Toote (Germany)", MACHINE_NOT_WORKING | MACHINE_UNEMULATED_PROTECTION )
+GAME( 1993, inttootea,jockeyc, inttoote, inttoote, seta_state, inttootea,ROT0, "Coinmaster", "International Toote II (World?)", MACHINE_NOT_WORKING | MACHINE_UNEMULATED_PROTECTION )
-GAME( 1991, rezon, 0, rezon, rezon, seta_state, rezon, ROT0, "Allumer", "Rezon", 0 )
-GAME( 1992, rezont, rezon, rezon, rezont, seta_state, rezon, ROT0, "Allumer (Taito license)","Rezon (Taito)", 0 )
+GAME( 1991, rezon, 0, rezon, rezon, seta_state, rezon, ROT0, "Allumer", "Rezon", 0 )
+GAME( 1992, rezont, rezon, rezon, rezont, seta_state, rezon, ROT0, "Allumer (Taito license)","Rezon (Taito)", 0 )
-GAME( 1991, stg, 0, drgnunit, stg, driver_device, 0, ROT270, "Athena / Tecmo", "Strike Gunner S.T.G", 0 )
+GAME( 1991, stg, 0, drgnunit, stg, seta_state, 0, ROT270, "Athena / Tecmo", "Strike Gunner S.T.G", 0 )
-GAME( 1991, pairlove, 0, pairlove, pairlove, driver_device, 0, ROT270, "Athena", "Pairs Love", 0 )
+GAME( 1991, pairlove, 0, pairlove, pairlove, seta_state, 0, ROT270, "Athena", "Pairs Love", 0 )
-GAME( 1992, blandia, 0, blandia, blandia, seta_state, blandia, ROT0, "Allumer", "Blandia", MACHINE_IMPERFECT_GRAPHICS )
-GAME( 1992, blandiap, blandia, blandiap, blandia, driver_device, 0, ROT0, "Allumer", "Blandia (prototype)", MACHINE_IMPERFECT_GRAPHICS )
+GAME( 1992, blandia, 0, blandia, blandia, seta_state, blandia, ROT0, "Allumer", "Blandia", MACHINE_IMPERFECT_GRAPHICS )
+GAME( 1992, blandiap, blandia, blandiap, blandia, seta_state, 0, ROT0, "Allumer", "Blandia (prototype)", MACHINE_IMPERFECT_GRAPHICS )
-GAME( 1992, blockcar, 0, blockcar, blockcar, driver_device, 0, ROT90, "Visco", "Block Carnival / Thunder & Lightning 2" , 0) // Title: DSW
-GAME( 1992, blockcarb,blockcar, blockcarb,blockcar, driver_device, 0, ROT90, "bootleg", "Block Carnival / Thunder & Lightning 2 (bootleg)", MACHINE_IMPERFECT_SOUND)
+GAME( 1992, blockcar, 0, blockcar, blockcar, seta_state, 0, ROT90, "Visco", "Block Carnival / Thunder & Lightning 2" , 0) // Title: DSW
+GAME( 1992, blockcarb,blockcar, blockcarb,blockcar, seta_state, 0, ROT90, "bootleg", "Block Carnival / Thunder & Lightning 2 (bootleg)", MACHINE_IMPERFECT_SOUND)
-GAME( 1992, qzkklogy, 0, drgnunit, qzkklogy, driver_device, 0, ROT0, "Tecmo", "Quiz Kokology", 0 )
+GAME( 1992, qzkklogy, 0, drgnunit, qzkklogy, seta_state, 0, ROT0, "Tecmo", "Quiz Kokology", 0 )
-GAME( 1992, neobattl, 0, umanclub, neobattl, driver_device, 0, ROT270, "Banpresto / Sotsu Agency. Sunrise", "SD Gundam Neo Battling (Japan)", 0 )
+GAME( 1992, neobattl, 0, umanclub, neobattl, seta_state, 0, ROT270, "Banpresto / Sotsu Agency. Sunrise", "SD Gundam Neo Battling (Japan)", 0 )
-GAME( 1992, umanclub, 0, umanclub, umanclub, driver_device, 0, ROT0, "Banpresto / Tsuburaya Productions", "Ultraman Club - Tatakae! Ultraman Kyoudai!!", 0 )
+GAME( 1992, umanclub, 0, umanclub, umanclub, seta_state, 0, ROT0, "Banpresto / Tsuburaya Productions", "Ultraman Club - Tatakae! Ultraman Kyoudai!!", 0 )
-GAME( 1992, zingzip, 0, zingzip, zingzip, driver_device, 0, ROT270, "Allumer / Tecmo", "Zing Zing Zip", 0 )
-GAME( 1992, zingzipbl,zingzip, zingzipbl,zingzip, driver_device, 0, ROT270, "bootleg", "Zing Zing Zip (bootleg)", MACHINE_NOT_WORKING )
+GAME( 1992, zingzip, 0, zingzip, zingzip, seta_state, 0, ROT270, "Allumer / Tecmo", "Zing Zing Zip", 0 )
+GAME( 1992, zingzipbl,zingzip, zingzipbl,zingzip, seta_state, 0, ROT270, "bootleg", "Zing Zing Zip (bootleg)", MACHINE_NOT_WORKING )
-GAME( 1993, atehate, 0, atehate, atehate, driver_device, 0, ROT0, "Athena", "Athena no Hatena ?", 0 )
+GAME( 1993, atehate, 0, atehate, atehate, seta_state, 0, ROT0, "Athena", "Athena no Hatena ?", 0 )
-GAME( 1993, daioh, 0, daioh, daioh, driver_device, 0, ROT270, "Athena", "Daioh", 0 )
-GAME( 1993, daioha, daioh, daioh, daioh, driver_device, 0, ROT270, "Athena", "Daioh (earlier)", 0 )
-GAME( 1993, daiohp, daioh, daiohp, daiohp, driver_device, 0, ROT270, "Athena", "Daioh (prototype)", 0 )
-GAME( 1993, daiohc, daioh, wrofaero, daioh, driver_device, 0, ROT270, "Athena", "Daioh (93111A PCB conversion)", 0 )
+GAME( 1993, daioh, 0, daioh, daioh, seta_state, 0, ROT270, "Athena", "Daioh", 0 )
+GAME( 1993, daioha, daioh, daioh, daioh, seta_state, 0, ROT270, "Athena", "Daioh (earlier)", 0 )
+GAME( 1993, daiohp, daioh, daiohp, daiohp, seta_state, 0, ROT270, "Athena", "Daioh (prototype)", 0 )
+GAME( 1993, daiohc, daioh, wrofaero, daioh, seta_state, 0, ROT270, "Athena", "Daioh (93111A PCB conversion)", 0 )
-GAME( 1993, jjsquawk, 0, jjsquawk, jjsquawk, driver_device, 0, ROT0, "Athena / Able", "J. J. Squawkers", MACHINE_IMPERFECT_SOUND )
-GAME( 1993, jjsquawko,jjsquawk, jjsquawk, jjsquawk, driver_device, 0, ROT0, "Athena / Able", "J. J. Squawkers (older)", MACHINE_IMPERFECT_SOUND )
-GAME( 1993, jjsquawkb,jjsquawk, jjsquawb, jjsquawk, driver_device, 0, ROT0, "bootleg", "J. J. Squawkers (bootleg)", MACHINE_IMPERFECT_SOUND )
-GAME( 1993, jjsquawkb2,jjsquawk,jjsquawk, jjsquawk, driver_device, 0, ROT0, "bootleg", "J. J. Squawkers (bootleg, Blandia Conversion)", MACHINE_IMPERFECT_SOUND )
-GAME( 2003, simpsonjr, jjsquawk,jjsquawb, jjsquawk, driver_device, 0, ROT0, "bootleg", "Simpson Junior (bootleg of J. J. Squawkers)", MACHINE_IMPERFECT_SOUND )
+GAME( 1993, jjsquawk, 0, jjsquawk, jjsquawk, seta_state, 0, ROT0, "Athena / Able", "J. J. Squawkers", MACHINE_IMPERFECT_SOUND )
+GAME( 1993, jjsquawko,jjsquawk, jjsquawk, jjsquawk, seta_state, 0, ROT0, "Athena / Able", "J. J. Squawkers (older)", MACHINE_IMPERFECT_SOUND )
+GAME( 1993, jjsquawkb,jjsquawk, jjsquawb, jjsquawk, seta_state, 0, ROT0, "bootleg", "J. J. Squawkers (bootleg)", MACHINE_IMPERFECT_SOUND )
+GAME( 1993, jjsquawkb2,jjsquawk,jjsquawk, jjsquawk, seta_state, 0, ROT0, "bootleg", "J. J. Squawkers (bootleg, Blandia Conversion)", MACHINE_IMPERFECT_SOUND )
+GAME( 2003, simpsonjr, jjsquawk,jjsquawb, jjsquawk, seta_state, 0, ROT0, "bootleg", "Simpson Junior (bootleg of J. J. Squawkers)", MACHINE_IMPERFECT_SOUND )
-GAME( 1993, kamenrid, 0, kamenrid, kamenrid, driver_device, 0, ROT0, "Banpresto / Toei", "Masked Riders Club Battle Race", 0 )
+GAME( 1993, kamenrid, 0, kamenrid, kamenrid, seta_state, 0, ROT0, "Banpresto / Toei", "Masked Riders Club Battle Race", 0 )
-GAME( 1993, madshark, 0, madshark, madshark, driver_device, 0, ROT270, "Allumer", "Mad Shark", 0 )
+GAME( 1993, madshark, 0, madshark, madshark, seta_state, 0, ROT270, "Allumer", "Mad Shark", 0 )
-GAME( 1993, msgundam, 0, msgundam, msgundam, driver_device, 0, ROT0, "Banpresto", "Mobile Suit Gundam", 0 )
-GAME( 1993, msgundam1,msgundam, msgundam, msgunda1, driver_device, 0, ROT0, "Banpresto", "Mobile Suit Gundam (Japan)", 0 )
+GAME( 1993, msgundam, 0, msgundam, msgundam, seta_state, 0, ROT0, "Banpresto", "Mobile Suit Gundam", 0 )
+GAME( 1993, msgundam1,msgundam, msgundam, msgunda1, seta_state, 0, ROT0, "Banpresto", "Mobile Suit Gundam (Japan)", 0 )
-GAME( 1993, oisipuzl, 0, oisipuzl, oisipuzl, driver_device, 0, ROT0, "Sunsoft / Atlus", "Oishii Puzzle Ha Irimasenka", 0 )
-GAME( 1993, triplfun, oisipuzl, triplfun, oisipuzl, driver_device, 0, ROT0, "bootleg", "Triple Fun", 0 )
+GAME( 1993, oisipuzl, 0, oisipuzl, oisipuzl, seta_state, 0, ROT0, "Sunsoft / Atlus", "Oishii Puzzle Ha Irimasenka", 0 )
+GAME( 1993, triplfun, oisipuzl, triplfun, oisipuzl, seta_state, 0, ROT0, "bootleg", "Triple Fun", 0 )
-GAME( 1993, qzkklgy2, 0, qzkklgy2, qzkklgy2, driver_device, 0, ROT0, "Tecmo", "Quiz Kokology 2", 0 )
+GAME( 1993, qzkklgy2, 0, qzkklgy2, qzkklgy2, seta_state, 0, ROT0, "Tecmo", "Quiz Kokology 2", 0 )
-GAME( 1993, utoukond, 0, utoukond, utoukond, driver_device, 0, ROT0, "Banpresto / Tsuburaya Productions", "Ultra Toukon Densetsu (Japan)", 0 )
+GAME( 1993, utoukond, 0, utoukond, utoukond, seta_state, 0, ROT0, "Banpresto / Tsuburaya Productions", "Ultra Toukon Densetsu (Japan)", 0 )
-GAME( 1993, wrofaero, 0, wrofaero, wrofaero, driver_device, 0, ROT270, "Yang Cheng", "War of Aero - Project MEIOU", 0 )
+GAME( 1993, wrofaero, 0, wrofaero, wrofaero, seta_state, 0, ROT270, "Yang Cheng", "War of Aero - Project MEIOU", 0 )
-GAME( 1994, eightfrc, 0, eightfrc, eightfrc, seta_state, eightfrc, ROT90, "Tecmo", "Eight Forces", 0 )
+GAME( 1994, eightfrc, 0, eightfrc, eightfrc, seta_state, eightfrc, ROT90, "Tecmo", "Eight Forces", 0 )
-GAME( 1994, kiwame, 0, kiwame, kiwame, seta_state, kiwame, ROT0, "Athena", "Pro Mahjong Kiwame", 0 )
+GAME( 1994, kiwame, 0, kiwame, kiwame, seta_state, kiwame, ROT0, "Athena", "Pro Mahjong Kiwame", 0 )
-GAME( 1994, krzybowl, 0, krzybowl, krzybowl, driver_device, 0, ROT270, "American Sammy", "Krazy Bowl", 0 )
+GAME( 1994, krzybowl, 0, krzybowl, krzybowl, seta_state, 0, ROT270, "American Sammy", "Krazy Bowl", 0 )
-GAME( 1994, magspeed, 0, magspeed, magspeed, driver_device, 0, ROT0, "Allumer", "Magical Speed", 0 )
+GAME( 1994, magspeed, 0, magspeed, magspeed, seta_state, 0, ROT0, "Allumer", "Magical Speed", 0 )
-GAME( 1994, orbs, 0, orbs, orbs, driver_device, 0, ROT0, "American Sammy", "Orbs (10/7/94 prototype?)", 0 )
+GAME( 1994, orbs, 0, orbs, orbs, seta_state, 0, ROT0, "American Sammy", "Orbs (10/7/94 prototype?)", 0 )
-GAME( 1995, keroppi, 0, keroppi, keroppi, driver_device, 0, ROT0, "American Sammy", "Kero Kero Keroppi's Let's Play Together (USA, Version 2.0)", 0 ) // ROM labels are all v1.0 tho.
-GAME( 1993, keroppij, keroppi, keroppij, keroppij,driver_device, 0, ROT0, "Sammy Industries", "Kero Kero Keroppi no Issyoni Asobou (Japan)", 0 )
+GAME( 1995, keroppi, 0, keroppi, keroppi, seta_state, 0, ROT0, "American Sammy", "Kero Kero Keroppi's Let's Play Together (USA, Version 2.0)", 0 ) // ROM labels are all v1.0 tho.
+GAME( 1993, keroppij, keroppi, keroppij, keroppij, seta_state, 0, ROT0, "Sammy Industries", "Kero Kero Keroppi no Issyoni Asobou (Japan)", 0 )
-GAME( 1995, extdwnhl, 0, extdwnhl, extdwnhl, driver_device, 0, ROT0, "Sammy Industries Japan", "Extreme Downhill (v1.5)", MACHINE_IMPERFECT_GRAPHICS )
+GAME( 1995, extdwnhl, 0, extdwnhl, extdwnhl, seta_state, 0, ROT0, "Sammy Industries Japan", "Extreme Downhill (v1.5)", MACHINE_IMPERFECT_GRAPHICS )
-GAME( 1995, gundhara, 0, gundhara, gundhara, driver_device, 0, ROT270, "Banpresto", "Gundhara", 0 )
-GAME( 1995, gundharac, gundhara,gundhara, gundhara, driver_device, 0, ROT270, "Banpresto", "Gundhara (Chinese, bootleg?)", 0 )
+GAME( 1995, gundhara, 0, gundhara, gundhara, seta_state, 0, ROT270, "Banpresto", "Gundhara", 0 )
+GAME( 1995, gundharac, gundhara,gundhara, gundhara, seta_state, 0, ROT270, "Banpresto", "Gundhara (Chinese, bootleg?)", 0 )
-GAME( 1995, sokonuke, 0, extdwnhl, sokonuke, driver_device, 0, ROT0, "Sammy Industries", "Sokonuke Taisen Game (Japan)", MACHINE_IMPERFECT_SOUND )
+GAME( 1995, sokonuke, 0, extdwnhl, sokonuke, seta_state, 0, ROT0, "Sammy Industries", "Sokonuke Taisen Game (Japan)", MACHINE_IMPERFECT_SOUND )
GAME( 1995, zombraid, 0, zombraid, zombraid, seta_state, zombraid, ROT0, "American Sammy", "Zombie Raid (9/28/95, US)", MACHINE_NO_COCKTAIL )
GAME( 1995, zombraidp,zombraid, zombraid, zombraid, seta_state, zombraid, ROT0, "American Sammy", "Zombie Raid (9/28/95, US, prototype PCB)", MACHINE_NO_COCKTAIL ) // actual code is same as the released version