summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame/drivers/sdk85.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/mame/drivers/sdk85.cpp')
-rw-r--r--src/mame/drivers/sdk85.cpp12
1 files changed, 6 insertions, 6 deletions
diff --git a/src/mame/drivers/sdk85.cpp b/src/mame/drivers/sdk85.cpp
index 6f34592766d..86dcfd8cb8c 100644
--- a/src/mame/drivers/sdk85.cpp
+++ b/src/mame/drivers/sdk85.cpp
@@ -148,9 +148,9 @@ READ8_MEMBER( sdk85_state::kbd_r )
MACHINE_CONFIG_START(sdk85_state::sdk85)
/* basic machine hardware */
- MCFG_CPU_ADD("maincpu", I8085A, 6.144_MHz_XTAL)
- MCFG_CPU_PROGRAM_MAP(sdk85_mem)
- MCFG_CPU_IO_MAP(sdk85_io)
+ MCFG_DEVICE_ADD("maincpu", I8085A, 6.144_MHz_XTAL)
+ MCFG_DEVICE_PROGRAM_MAP(sdk85_mem)
+ MCFG_DEVICE_IO_MAP(sdk85_io)
MCFG_DEVICE_ADD("romio", I8355, 6.144_MHz_XTAL / 2) // Monitor ROM (A14)
@@ -167,9 +167,9 @@ MACHINE_CONFIG_START(sdk85_state::sdk85)
/* Devices */
MCFG_DEVICE_ADD("kdc", I8279, 6.144_MHz_XTAL / 2) // Keyboard/Display Controller (A13)
MCFG_I8279_OUT_IRQ_CB(INPUTLINE("maincpu", I8085_RST55_LINE)) // irq
- MCFG_I8279_OUT_SL_CB(WRITE8(sdk85_state, scanlines_w)) // scan SL lines
- MCFG_I8279_OUT_DISP_CB(WRITE8(sdk85_state, digit_w)) // display A&B
- MCFG_I8279_IN_RL_CB(READ8(sdk85_state, kbd_r)) // kbd RL lines
+ MCFG_I8279_OUT_SL_CB(WRITE8(*this, sdk85_state, scanlines_w)) // scan SL lines
+ MCFG_I8279_OUT_DISP_CB(WRITE8(*this, sdk85_state, digit_w)) // display A&B
+ MCFG_I8279_IN_RL_CB(READ8(*this, sdk85_state, kbd_r)) // kbd RL lines
MCFG_I8279_IN_SHIFT_CB(VCC) // Shift key
MCFG_I8279_IN_CTRL_CB(VCC)
MACHINE_CONFIG_END