summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/bus/vic20/fe3.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/bus/vic20/fe3.cpp')
-rw-r--r--src/devices/bus/vic20/fe3.cpp26
1 files changed, 13 insertions, 13 deletions
diff --git a/src/devices/bus/vic20/fe3.cpp b/src/devices/bus/vic20/fe3.cpp
index dbe03de0183..5b4b78af977 100644
--- a/src/devices/bus/vic20/fe3.cpp
+++ b/src/devices/bus/vic20/fe3.cpp
@@ -56,7 +56,7 @@
// DEVICE DEFINITIONS
//**************************************************************************
-const device_type VIC20_FE3 = device_creator<vic20_final_expansion_3_t>;
+DEFINE_DEVICE_TYPE(VIC20_FE3, vic20_final_expansion_3_device, "vic20_fe3", "Final Expansion v3")
//-------------------------------------------------
@@ -76,7 +76,7 @@ ROM_END
// rom_region - device-specific ROM region
//-------------------------------------------------
-const tiny_rom_entry *vic20_final_expansion_3_t::device_rom_region() const
+const tiny_rom_entry *vic20_final_expansion_3_device::device_rom_region() const
{
return ROM_NAME( vic20_fe3 );
}
@@ -96,7 +96,7 @@ MACHINE_CONFIG_END
// machine configurations
//-------------------------------------------------
-machine_config_constructor vic20_final_expansion_3_t::device_mconfig_additions() const
+machine_config_constructor vic20_final_expansion_3_device::device_mconfig_additions() const
{
return MACHINE_CONFIG_NAME( vic20_fe3 );
}
@@ -108,11 +108,11 @@ machine_config_constructor vic20_final_expansion_3_t::device_mconfig_additions()
//**************************************************************************
//-------------------------------------------------
-// vic20_final_expansion_3_t - constructor
+// vic20_final_expansion_3_device - constructor
//-------------------------------------------------
-vic20_final_expansion_3_t::vic20_final_expansion_3_t(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock) :
- device_t(mconfig, VIC20_FE3, "Final Expansion v3", tag, owner, clock, "vic20_fe3", __FILE__),
+vic20_final_expansion_3_device::vic20_final_expansion_3_device(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock) :
+ device_t(mconfig, VIC20_FE3, tag, owner, clock),
device_vic20_expansion_card_interface(mconfig, *this),
m_flash_rom(*this, AM29F040_TAG),
m_ram(*this, "sram"), m_reg1(0), m_reg2(0), m_lockbit(0)
@@ -124,7 +124,7 @@ vic20_final_expansion_3_t::vic20_final_expansion_3_t(const machine_config &mconf
// device_start - device-specific startup
//-------------------------------------------------
-void vic20_final_expansion_3_t::device_start()
+void vic20_final_expansion_3_device::device_start()
{
m_ram.allocate(0x80000);
@@ -139,7 +139,7 @@ void vic20_final_expansion_3_t::device_start()
// device_reset - device-specific reset
//-------------------------------------------------
-void vic20_final_expansion_3_t::device_reset()
+void vic20_final_expansion_3_device::device_reset()
{
m_reg1 = 0;
m_reg2 = 0;
@@ -150,7 +150,7 @@ void vic20_final_expansion_3_t::device_reset()
// vic20_cd_r - cartridge data read
//-------------------------------------------------
-uint8_t vic20_final_expansion_3_t::vic20_cd_r(address_space &space, offs_t offset, uint8_t data, int ram1, int ram2, int ram3, int blk1, int blk2, int blk3, int blk5, int io2, int io3)
+uint8_t vic20_final_expansion_3_device::vic20_cd_r(address_space &space, offs_t offset, uint8_t data, int ram1, int ram2, int ram3, int blk1, int blk2, int blk3, int blk5, int io2, int io3)
{
switch (m_reg1 & REG1_MODE_MASK)
{
@@ -371,7 +371,7 @@ uint8_t vic20_final_expansion_3_t::vic20_cd_r(address_space &space, offs_t offse
// vic20_cd_w - cartridge data write
//-------------------------------------------------
-void vic20_final_expansion_3_t::vic20_cd_w(address_space &space, offs_t offset, uint8_t data, int ram1, int ram2, int ram3, int blk1, int blk2, int blk3, int blk5, int io2, int io3)
+void vic20_final_expansion_3_device::vic20_cd_w(address_space &space, offs_t offset, uint8_t data, int ram1, int ram2, int ram3, int blk1, int blk2, int blk3, int blk5, int io2, int io3)
{
switch (m_reg1 & REG1_MODE_MASK)
{
@@ -589,7 +589,7 @@ void vic20_final_expansion_3_t::vic20_cd_w(address_space &space, offs_t offset,
// get_address -
//-------------------------------------------------
-offs_t vic20_final_expansion_3_t::get_address(int bank, int block, offs_t offset)
+offs_t vic20_final_expansion_3_device::get_address(int bank, int block, offs_t offset)
{
block ^= (m_reg2 >> 5) & 0x03;
@@ -601,7 +601,7 @@ offs_t vic20_final_expansion_3_t::get_address(int bank, int block, offs_t offset
// read_register -
//-------------------------------------------------
-uint8_t vic20_final_expansion_3_t::read_register(offs_t offset)
+uint8_t vic20_final_expansion_3_device::read_register(offs_t offset)
{
uint8_t data = 0;
@@ -624,7 +624,7 @@ uint8_t vic20_final_expansion_3_t::read_register(offs_t offset)
// write_register -
//-------------------------------------------------
-void vic20_final_expansion_3_t::write_register(offs_t offset, uint8_t data)
+void vic20_final_expansion_3_device::write_register(offs_t offset, uint8_t data)
{
switch (offset)
{