summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/bus/a2bus/corvfdc02.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/bus/a2bus/corvfdc02.cpp')
-rw-r--r--src/devices/bus/a2bus/corvfdc02.cpp6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/devices/bus/a2bus/corvfdc02.cpp b/src/devices/bus/a2bus/corvfdc02.cpp
index 36f5dbbd09c..7d4bc6ed785 100644
--- a/src/devices/bus/a2bus/corvfdc02.cpp
+++ b/src/devices/bus/a2bus/corvfdc02.cpp
@@ -132,10 +132,10 @@ uint8_t a2bus_corvfdc02_device::read_c0nx(uint8_t offset)
switch (offset)
{
case 0: // 765 FIFO
- return m_fdc->fifo_r();
+ return m_fdc->read_fifo();
case 1: // 765 MSR
- return m_fdc->msr_r();
+ return m_fdc->read_msr();
case 2: // buffer address
return (m_bufptr>>1) & 0xff;
@@ -170,7 +170,7 @@ void a2bus_corvfdc02_device::write_c0nx(uint8_t offset, uint8_t data)
switch (offset)
{
case 0: // FDC FIFO write
- m_fdc->fifo_w(data);
+ m_fdc->write_fifo(data);
break;
case 1: // FDC ???