summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
-rw-r--r--src/mame/drivers/cclimber.cpp39
-rw-r--r--src/mame/mame.lst1
2 files changed, 40 insertions, 0 deletions
diff --git a/src/mame/drivers/cclimber.cpp b/src/mame/drivers/cclimber.cpp
index e2033b18722..95bbcfdef1d 100644
--- a/src/mame/drivers/cclimber.cpp
+++ b/src/mame/drivers/cclimber.cpp
@@ -673,6 +673,17 @@ static INPUT_PORTS_START( ckongb )
PORT_DIPSETTING( 0x03, "4" )
INPUT_PORTS_END
+static INPUT_PORTS_START( ckongb2 )
+ PORT_INCLUDE( ckong )
+
+ PORT_MODIFY("DSW")
+ PORT_DIPNAME( 0x03, 0x00, DEF_STR( Lives ) )
+ PORT_DIPSETTING( 0x00, "2" )
+ PORT_DIPSETTING( 0x01, "3" )
+ PORT_DIPSETTING( 0x02, "4" )
+ PORT_DIPSETTING( 0x03, "5" )
+INPUT_PORTS_END
+
static INPUT_PORTS_START( cannonb )
PORT_INCLUDE( ckong )
@@ -1715,7 +1726,34 @@ ROM_START( ckongpt2b )
ROM_LOAD( "13.5p", 0x1000, 0x1000, CRC(9003ffbd) SHA1(fd016056aabc23957643f37230f03842294f795e) )
ROM_END
+ROM_START( ckongpt2b2 )
+ ROM_REGION( 0x6000, "maincpu", 0 )
+ ROM_LOAD( "0.bin", 0x0000, 0x1000, CRC(1c21386f) SHA1(ce1a0c469dd09d81485cede6c8fbaf6299a9decf) ) // unique
+ ROM_LOAD( "1.bin", 0x1000, 0x1000, CRC(5dc1aaba) SHA1(42b9e5946ffce7c156d114bde68f37c2c34853c4) )
+ ROM_LOAD( "2.bin", 0x2000, 0x1000, CRC(c9054c94) SHA1(1aa08d2501ee620759fd5c111e12f6d432c25294) )
+ ROM_LOAD( "3.bin", 0x3000, 0x1000, CRC(84903b9d) SHA1(60c3f3879da9f3cdcdc2313db1196c41132fb27f) ) // unique
+ ROM_LOAD( "4.bin", 0x4000, 0x1000, CRC(ae159192) SHA1(d467256a3a366e246243e7828ff4a45d4c146e2c) )
+ ROM_LOAD( "5.bin", 0x5000, 0x1000, CRC(966bc9ab) SHA1(4434fc620169ffea1b1f227b61674e1daf79b54b) )
+ ROM_REGION( 0x4000, "gfx1", 0 )
+ ROM_LOAD( "d.bin", 0x0000, 0x1000, CRC(2dcedd12) SHA1(dfdcfc21bcba7c8e148ee54daae511ca78c58e70) )
+ ROM_LOAD( "c.bin", 0x1000, 0x1000, CRC(fa7cbd91) SHA1(0208d2ebc59f3600005476b6987472685bc99d67) )
+ ROM_LOAD( "b.bin", 0x2000, 0x1000, CRC(3375b3bd) SHA1(a00b3c31cff123aab6ac0833aabfdd663302971a) )
+ ROM_LOAD( "a.bin", 0x3000, 0x1000, CRC(5655cc11) SHA1(5195e9b2a60c54280b48b32ee8248090904dbc51) )
+
+ ROM_REGION( 0x1000, "gfx2", 0 )
+ ROM_LOAD( "9.bin", 0x0000, 0x0800, CRC(d1352c31) SHA1(da726a63a8be830d695afeddc1717749af8c9d47) )
+ ROM_LOAD( "8.bin", 0x0800, 0x0800, CRC(a7a2fdbd) SHA1(529865f8bbfbdbbf34ac39c70ef17e6d5bd0f845) )
+
+ ROM_REGION( 0x0060, "proms", 0 )
+ ROM_LOAD( "prom.bin", 0x0000, 0x0020, CRC(d3b84067) SHA1(1d025c28c47c4c7508b0dfd534de87e923262630) ) // unique, FIXED BITS (xxxxxxx1), verified on 4 boards, but gives red-ish backgrounds not present on PCB?
+ ROM_LOAD( "prom.t6", 0x0020, 0x0020, CRC(26aada9e) SHA1(f59645e606ea4f0dd0fc4ea47dd03f526c534941) )
+ ROM_LOAD( "prom.u6", 0x0040, 0x0020, CRC(676b3166) SHA1(29b9434cd34d43ea5664e436e2a24b54f8d88aac) )
+
+ ROM_REGION( 0x2000, "samples", 0 ) /* samples */
+ ROM_LOAD( "7.bin", 0x0000, 0x1000, CRC(5f0bcdfb) SHA1(7f79bf6de117348f606696ed7ea1937bbf926612) )
+ ROM_LOAD( "6.bin", 0x1000, 0x1000, CRC(9003ffbd) SHA1(fd016056aabc23957643f37230f03842294f795e) )
+ROM_END
/* Sets below are 'Crazy Kong' without the extra Falcon screen or Pt. 2 subtitle, they also have worse colours */
@@ -2697,6 +2735,7 @@ GAME( 1981, ckongpt2a, ckongpt2, cclimber, ckong, cclimber_state, empty_i
GAME( 1981, ckongpt2j, ckongpt2, cclimber, ckong, cclimber_state, empty_init, ROT270, "Falcon", "Crazy Kong Part II (Japan)", MACHINE_SUPPORTS_SAVE )
GAME( 1981, ckongpt2jeu, ckongpt2, cclimber, ckong, cclimber_state, empty_init, ROT270, "bootleg (Jeutel)", "Crazy Kong Part II (Jeutel bootleg)", MACHINE_SUPPORTS_SAVE )
GAME( 1981, ckongpt2b, ckongpt2, ckongb, ckongb, cclimber_state, init_ckongb, ROT270, "bootleg", "Crazy Kong Part II (alternative levels)", MACHINE_SUPPORTS_SAVE )
+GAME( 1981, ckongpt2b2, ckongpt2, cclimber, ckongb2, cclimber_state, empty_init, ROT270, "bootleg", "Crazy Kong Part II (bootleg)", MACHINE_IMPERFECT_COLORS | MACHINE_SUPPORTS_SAVE ) // one PROM differs and seems bad, but dump has been confirmed on multiple PCBs
// see bagman.cpp for parent
GAME( 1982, bagmanf, bagman, bagmanf, bagmanf, cclimber_state, empty_init, ROT270, "bootleg", "Le Bagnard (bootleg on Crazy Kong hardware)", MACHINE_NOT_WORKING | MACHINE_SUPPORTS_SAVE )
diff --git a/src/mame/mame.lst b/src/mame/mame.lst
index f5e947053c7..e6acacfb7c5 100644
--- a/src/mame/mame.lst
+++ b/src/mame/mame.lst
@@ -9701,6 +9701,7 @@ ckongo // bootleg (Orca)
ckongpt2 // (c) 1981 Falcon
ckongpt2a // (c) 1981 Falcon
ckongpt2b // bootleg
+ckongpt2b2 // bootleg
ckongpt2j // (c) 1981 Falcon
ckongpt2jeu // bootleg (Jeutel)
dking // Crazy Kong Bootleg