summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
-rw-r--r--hash/amigaocs_flop.xml6
-rw-r--r--hash/apple2_flop_clcracked.xml2
-rw-r--r--hash/ibm5150.xml2
-rw-r--r--hash/ibm5170.xml18
-rw-r--r--hash/mc1000_cass.xml12
-rw-r--r--hash/n64.xml2
-rw-r--r--hash/neogeo.xml6
-rw-r--r--hash/nes.xml32
-rw-r--r--hash/nuon.xml26
-rw-r--r--hash/sgi_mips.xml14
-rw-r--r--hash/specpls3_flop.xml6
-rw-r--r--hash/vgmplay.xml2
-rw-r--r--hash/x68k_flop.xml4
-rw-r--r--scripts/src/machine.lua2
-rw-r--r--src/devices/bus/a2bus/a2iwm.cpp4
-rw-r--r--src/devices/bus/centronics/epson_lx810l.cpp2
-rw-r--r--src/devices/bus/coco/coco_fdc.cpp2
-rw-r--r--src/devices/bus/coco/coco_ram.cpp6
-rw-r--r--src/devices/bus/ecbbus/grip.cpp4
-rw-r--r--src/devices/bus/ieee488/grid2102.cpp422
-rw-r--r--src/devices/bus/qbus/pc11.cpp2
-rw-r--r--src/devices/bus/qbus/pc11.h2
-rw-r--r--src/devices/bus/qbus/qbus.h2
-rw-r--r--src/devices/bus/spectrum/beta.cpp2
-rw-r--r--src/devices/bus/spectrum/beta128.cpp2
-rw-r--r--src/devices/bus/spectrum/d40.cpp4
-rw-r--r--src/devices/cpu/i960/i960dis.cpp6
-rw-r--r--src/devices/cpu/jaguar/jaguar.cpp30
-rw-r--r--src/devices/cpu/jaguar/jaguar.h6
-rw-r--r--src/devices/cpu/m6502/m6502.cpp2
-rw-r--r--src/devices/machine/am2901b.cpp10
-rw-r--r--src/devices/machine/generalplus_gpl16250soc.cpp4
-rw-r--r--src/devices/machine/generalplus_gpl16250soc_video.cpp6
-rw-r--r--src/devices/machine/i80130.cpp6
-rw-r--r--src/devices/machine/iwm.cpp2
-rw-r--r--src/devices/machine/netlist.cpp6
-rw-r--r--src/devices/machine/s3c24xx.hxx2
-rw-r--r--src/devices/machine/scc68070.cpp2
-rw-r--r--src/devices/machine/spg2xx_io.cpp6
-rw-r--r--src/devices/machine/spg2xx_video.cpp2
-rw-r--r--src/devices/machine/spg_renderer.cpp28
-rw-r--r--src/devices/machine/spg_renderer.h4
-rw-r--r--src/devices/machine/sun4c_mmu.cpp14
-rw-r--r--src/devices/machine/sun4c_mmu.h2
-rw-r--r--src/devices/machine/swim1.cpp6
-rw-r--r--src/devices/machine/swim2.cpp6
-rw-r--r--src/devices/machine/swim3.cpp6
-rw-r--r--src/devices/sound/c140.cpp2
-rw-r--r--src/devices/sound/ics2115.cpp20
-rw-r--r--src/devices/sound/ics2115.h4
-rw-r--r--src/devices/sound/k053260.cpp18
-rw-r--r--src/emu/debug/debugcon.cpp10
-rw-r--r--src/emu/debug/textbuf.cpp18
-rw-r--r--src/emu/debug/textbuf.h70
-rw-r--r--src/emu/dirom.ipp4
-rw-r--r--src/emu/emumem.h2
-rw-r--r--src/emu/emumem_heun.cpp2
-rw-r--r--src/emu/ioport.cpp4
-rw-r--r--src/emu/ioport.h6
-rw-r--r--src/emu/natkeyboard.cpp6
-rw-r--r--src/frontend/mame/luaengine.cpp2
-rw-r--r--src/lib/formats/imd_dsk.cpp4
-rw-r--r--src/lib/netlist/devices/nld_9316.h2
-rw-r--r--src/lib/netlist/devices/nlid_truthtable.cpp2
-rw-r--r--src/lib/netlist/examples/nld_74393_test.c4
-rw-r--r--src/lib/netlist/nl_base.h4
-rw-r--r--src/lib/netlist/nl_factory.h28
-rw-r--r--src/lib/netlist/nl_interface.h26
-rw-r--r--src/lib/netlist/plib/pmempool.h2
-rw-r--r--src/lib/netlist/plib/ppmf.h8
-rw-r--r--src/lib/netlist/plib/ptimed_queue.h2
-rw-r--r--src/lib/netlist/plib/ptypes.h2
-rw-r--r--src/mame/audio/bu3905.h2
-rw-r--r--src/mame/audio/nl_280zzzap.cpp46
-rw-r--r--src/mame/audio/redalert.cpp2
-rw-r--r--src/mame/drivers/at.cpp2
-rw-r--r--src/mame/drivers/cave.cpp8
-rw-r--r--src/mame/drivers/cdi.cpp10
-rw-r--r--src/mame/drivers/cischeat.cpp12
-rw-r--r--src/mame/drivers/cm1800.cpp2
-rw-r--r--src/mame/drivers/consola_emt.cpp4
-rw-r--r--src/mame/drivers/cps1.cpp2
-rw-r--r--src/mame/drivers/dec8.cpp6
-rw-r--r--src/mame/drivers/dpb7000.cpp98
-rw-r--r--src/mame/drivers/fp6000.cpp2
-rw-r--r--src/mame/drivers/galaxian.cpp2
-rw-r--r--src/mame/drivers/gei.cpp6
-rw-r--r--src/mame/drivers/generalplus_gpl16250.cpp4
-rw-r--r--src/mame/drivers/generalplus_gpl16250_mobigo.cpp18
-rw-r--r--src/mame/drivers/generalplus_gpl16250_nand.cpp12
-rw-r--r--src/mame/drivers/generalplus_gpl16250_rom.cpp18
-rw-r--r--src/mame/drivers/generalplus_gpl16250_romram.cpp14
-rw-r--r--src/mame/drivers/generalplus_gpl16250_spi.cpp12
-rw-r--r--src/mame/drivers/gridcomp.cpp26
-rw-r--r--src/mame/drivers/gs6502.cpp8
-rw-r--r--src/mame/drivers/gs6809.cpp8
-rw-r--r--src/mame/drivers/gscpm.cpp8
-rw-r--r--src/mame/drivers/gsz80.cpp10
-rw-r--r--src/mame/drivers/gticlub.cpp4
-rw-r--r--src/mame/drivers/homelab.cpp2
-rw-r--r--src/mame/drivers/hp9845.cpp4
-rw-r--r--src/mame/drivers/itech8.cpp2
-rw-r--r--src/mame/drivers/jaguar.cpp8
-rw-r--r--src/mame/drivers/konamigx.cpp2
-rw-r--r--src/mame/drivers/mc10.cpp2
-rw-r--r--src/mame/drivers/model3.cpp4
-rw-r--r--src/mame/drivers/nakajies.cpp8
-rw-r--r--src/mame/drivers/nemesis.cpp6
-rw-r--r--src/mame/drivers/neogeo.cpp12
-rw-r--r--src/mame/drivers/nes_vt.cpp2
-rw-r--r--src/mame/drivers/phc25.cpp2
-rw-r--r--src/mame/drivers/plygonet.cpp4
-rw-r--r--src/mame/drivers/redalert.cpp42
-rw-r--r--src/mame/drivers/rt1715.cpp2
-rw-r--r--src/mame/drivers/seattle.cpp26
-rw-r--r--src/mame/drivers/seta2.cpp10
-rw-r--r--src/mame/drivers/smotor.cpp28
-rw-r--r--src/mame/drivers/spg29x.cpp6
-rw-r--r--src/mame/drivers/spg2xx.cpp10
-rw-r--r--src/mame/drivers/spg2xx_lexibook.cpp2
-rw-r--r--src/mame/drivers/spg2xx_zone_32bit.cpp8
-rw-r--r--src/mame/drivers/taito_f3.cpp2
-rw-r--r--src/mame/drivers/taitowlf.cpp2
-rw-r--r--src/mame/drivers/tiki100.cpp2
-rw-r--r--src/mame/drivers/ultim809.cpp2
-rw-r--r--src/mame/drivers/vegas.cpp18
-rw-r--r--src/mame/drivers/wpc_an.cpp24
-rw-r--r--src/mame/includes/cischeat.h2
-rw-r--r--src/mame/includes/pdp11.h4
-rw-r--r--src/mame/machine/cdicdic.cpp10
-rw-r--r--src/mame/machine/cdislavehle.cpp2
-rw-r--r--src/mame/machine/fp6000_kbd.cpp298
-rw-r--r--src/mame/machine/fp6000_kbd.h16
-rw-r--r--src/mame/machine/namcos2.cpp14
-rw-r--r--src/mame/video/bbc.cpp12
-rw-r--r--src/mame/video/gaplus.cpp2
-rw-r--r--src/mame/video/jag_blitter.cpp28
-rw-r--r--src/mame/video/jag_blitter.h18
-rw-r--r--src/mame/video/jaguar.cpp16
-rw-r--r--src/mame/video/k037122.cpp102
-rw-r--r--src/mame/video/redalert.cpp34
-rw-r--r--src/mame/video/seta2.cpp36
-rw-r--r--src/mame/video/vtvideo.cpp38
-rw-r--r--src/mame/video/xbox_nv2a.cpp10
-rw-r--r--src/tools/imgtool/modules/dgndos.cpp16
-rw-r--r--src/tools/jedutil.cpp2
146 files changed, 1122 insertions, 1122 deletions
diff --git a/hash/amigaocs_flop.xml b/hash/amigaocs_flop.xml
index daebf09d756..0a7d4247015 100644
--- a/hash/amigaocs_flop.xml
+++ b/hash/amigaocs_flop.xml
@@ -35337,7 +35337,7 @@ license:CC0
</part>
</software>
- <!-- red screen -->
+ <!-- red screen -->
<software name="rickdng2" supported="no">
<!-- SPS (CAPS) release 570 -->
<description>Rick Dangerous 2 (Euro)</description>
@@ -35352,7 +35352,7 @@ license:CC0
</part>
</software>
- <!-- red screen -->
+ <!-- red screen -->
<software name="rickdng2a" cloneof="rickdng2" supported="no">
<!-- SPS (CAPS) release 1877 -->
<description>Rick Dangerous 2 (Euro, Budget)</description>
@@ -48343,7 +48343,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<!-- Locks up at Xenon logo -->
<software name="xenon" supported="no">
<!-- SPS (CAPS) release 399 -->
diff --git a/hash/apple2_flop_clcracked.xml b/hash/apple2_flop_clcracked.xml
index 348d2a1aa9c..173e44288e1 100644
--- a/hash/apple2_flop_clcracked.xml
+++ b/hash/apple2_flop_clcracked.xml
@@ -37003,7 +37003,7 @@ license:CC0
<year>1984</year>
<publisher>Gessler Educational Software</publisher>
<info name="release" value="2020-05-26"/>
-
+
<part name="flop1" interface="floppy_5_25">
<dataarea name="flop" size="143360">
<rom name="german word order (4am crack).dsk" size="143360" crc="acdab126" sha1="e2e9d4d71115899aa38c49292cd21c4c0f9dec6b"/>
diff --git a/hash/ibm5150.xml b/hash/ibm5150.xml
index f62ee1700af..8d29c8a661f 100644
--- a/hash/ibm5150.xml
+++ b/hash/ibm5150.xml
@@ -7476,7 +7476,7 @@ has been replaced with an all-zero block. -->
</dataarea>
</part>
</software>
-
+
<software name="aaow">
<description>The Ancient Art of War</description>
<year>1984</year>
diff --git a/hash/ibm5170.xml b/hash/ibm5170.xml
index ecd01f0d59f..ac5e606fd26 100644
--- a/hash/ibm5170.xml
+++ b/hash/ibm5170.xml
@@ -8963,7 +8963,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="amazon">
<description>Amazon - Guardians of Eden</description>
<year>1992</year>
@@ -9703,7 +9703,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="crystalc">
<description>Crystal Caves (1.0a)</description>
<year>1991</year>
@@ -10684,7 +10684,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="pharkas">
<description>Freddy Pharkas, Frontier Pharmacist</description>
<year>1993</year>
@@ -11687,7 +11687,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="lemmings">
<!-- Dumped via Kryoflux -->
<description>Lemmings</description>
@@ -12494,7 +12494,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="pquestvga">
<description>Police Quest in Pursuit of the Death Angel (VGA release)</description>
<year>1992</year>
@@ -12800,7 +12800,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="samnmax">
<description>Sam &amp; Max Hit the Road</description>
<year>1993</year>
@@ -12932,7 +12932,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="silverb2p">
<description>Silverball 2 Plus</description>
<year>1994</year>
@@ -13358,7 +13358,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="trek25th">
<description>Star Trek - 25th Anniversary</description>
<year>1992</year>
@@ -13620,7 +13620,7 @@ license:CC0
</dataarea>
</part>
</software>
-
+
<software name="syndicate">
<description>Syndicate</description>
<year>1993</year>
diff --git a/hash/mc1000_cass.xml b/hash/mc1000_cass.xml
index cc5e8bd8d8c..6b1f2596c87 100644
--- a/hash/mc1000_cass.xml
+++ b/hash/mc1000_cass.xml
@@ -853,8 +853,8 @@ Info for original games comes from https://web.archive.org/web/20141023024713/mc
<part name="cass1" interface="mc1000_cass">
<!--
- <dataarea name="cass" size="702764">
- <rom name="aventura.wav" size="702764" crc="82a6263b" sha1="834d9511e22f5c34244923108366201e82b89179"/>
+ <dataarea name="cass" size="702764">
+ <rom name="aventura.wav" size="702764" crc="82a6263b" sha1="834d9511e22f5c34244923108366201e82b89179"/>
-->
<dataarea name="cass" size="168558">
<rom name="aventura.wav" size="168558" crc="208c0c8b" sha1="169010d35d4fa3a63cf00f5758717e57fd4754e2"/>
@@ -910,8 +910,8 @@ Info for original games comes from https://web.archive.org/web/20141023024713/mc
<part name="cass1" interface="mc1000_cass">
<!--
- <dataarea name="cass" size="176812">
- <rom name="circulos.wav" size="176812" crc="402caaea" sha1="614201ff32f80c91bf433243bc046eee78e190bf"/>
+ <dataarea name="cass" size="176812">
+ <rom name="circulos.wav" size="176812" crc="402caaea" sha1="614201ff32f80c91bf433243bc046eee78e190bf"/>
-->
<dataarea name="cass" size="40350">
<rom name="circulos.wav" size="40350" crc="007a7c30" sha1="0002df62b1b37cd1c3d5b0db3a7a86f733604106"/>
@@ -979,8 +979,8 @@ Info for original games comes from https://web.archive.org/web/20141023024713/mc
<part name="cass1" interface="mc1000_cass">
<!--
- <dataarea name="cass" size="549964">
- <rom name="explosao.wav" size="549964" crc="d231d3af" sha1="a3cce871efa9f8762257ac7ea47c71311f25920f"/>
+ <dataarea name="cass" size="549964">
+ <rom name="explosao.wav" size="549964" crc="d231d3af" sha1="a3cce871efa9f8762257ac7ea47c71311f25920f"/>
-->
<dataarea name="cass" size="130822">
<rom name="explosao.wav" size="130822" crc="0b46f290" sha1="821b95bd675097d5ffba1c4c97898d20c9d10bb3"/>
diff --git a/hash/n64.xml b/hash/n64.xml
index e762b1085a3..150b4bc7c03 100644
--- a/hash/n64.xml
+++ b/hash/n64.xml
@@ -374,7 +374,7 @@ Info on N64 chip labels (from The Cart Scan Repository)
<description>AeroGauge (Europe)</description>
<year>1998</year>
<publisher>ASCII Entertainment</publisher>
- <info name="serial" value=" NUS-NAGP-NOE, NUS-NAGP-UKV"/>
+ <info name="serial" value="NUS-NAGP-NOE, NUS-NAGP-UKV"/>
<part name="cart" interface="n64_cart">
<feature name="pcb" value="NUS-01A-02" />
<feature name="u1" value="U1 [NUS-NAGP-0]" />
diff --git a/hash/neogeo.xml b/hash/neogeo.xml
index 96592822d5f..48b1b1d00e6 100644
--- a/hash/neogeo.xml
+++ b/hash/neogeo.xml
@@ -6488,11 +6488,11 @@ license:CC0
<!--
ID-0228
Ghostlop (prototype) 1996 Data East Corp.
-
+
Ghostlop (location test) 2001 Data East Corp.
NEO-MVS PROGGSC / NEO-MVS CHA256
-
- The location test version uses socketed EPROMs on the PROG board, flash chips on adapter boards for the C ROMs and EPROMs for M1 and S1 on the CHA.
+
+ The location test version uses socketed EPROMs on the PROG board, flash chips on adapter boards for the C ROMs and EPROMs for M1 and S1 on the CHA.
It has a piece of cardboard between the 2 boards to avoid shorts because the socketed flash adapter boards are a bit too high and could get shorted with traces on the PROG board.
-->
diff --git a/hash/nes.xml b/hash/nes.xml
index bbbf9ffb1c7..ed7e1308c41 100644
--- a/hash/nes.xml
+++ b/hash/nes.xml
@@ -47339,14 +47339,14 @@ preliminary proto for the PAL version, still running on NTSC systems) or the gfx
<feature name="pcb" value="KONAMI-VRC-2" />
<feature name="vrc2-pin3" value="PRG A1" />
<feature name="vrc2-pin4" value="PRG A0" />
- <feature name="vrc2-pin21" value ="CHR A10" />
- <feature name="vrc2-pin22" value ="CHR A16" />
- <feature name="vrc2-pin23" value ="CHR A11" />
- <feature name="vrc2-pin24" value ="CHR A13" />
- <feature name="vrc2-pin25" value ="CHR A14" />
- <feature name="vrc2-pin26" value ="CHR A12" />
- <feature name="vrc2-pin27" value ="CHR A15" />
- <feature name="vrc2-pin28" value ="NC" />
+ <feature name="vrc2-pin21" value="CHR A10" />
+ <feature name="vrc2-pin22" value="CHR A16" />
+ <feature name="vrc2-pin23" value="CHR A11" />
+ <feature name="vrc2-pin24" value="CHR A13" />
+ <feature name="vrc2-pin25" value="CHR A14" />
+ <feature name="vrc2-pin26" value="CHR A12" />
+ <feature name="vrc2-pin27" value="CHR A15" />
+ <feature name="vrc2-pin28" value="NC" />
<dataarea name="chr" size="131072">
<rom name="mad city (japan) (beta).chr" size="131072" crc="028dc0ef" sha1="1212b249bfc2c13e76a337d4ceedc5f78d1d5be6" offset="00000" status="baddump" />
</dataarea>
@@ -50292,14 +50292,14 @@ preliminary proto for the PAL version, still running on NTSC systems) or the gfx
<feature name="pcb" value="KONAMI-VRC-2" />
<feature name="vrc2-pin3" value="PRG A1" />
<feature name="vrc2-pin4" value="PRG A0" />
- <feature name="vrc2-pin21" value ="CHR A10" />
- <feature name="vrc2-pin22" value ="CHR A16" />
- <feature name="vrc2-pin23" value ="CHR A11" />
- <feature name="vrc2-pin24" value ="CHR A13" />
- <feature name="vrc2-pin25" value ="CHR A14" />
- <feature name="vrc2-pin26" value ="CHR A12" />
- <feature name="vrc2-pin27" value ="CHR A15" />
- <feature name="vrc2-pin28" value ="NC" />
+ <feature name="vrc2-pin21" value="CHR A10" />
+ <feature name="vrc2-pin22" value="CHR A16" />
+ <feature name="vrc2-pin23" value="CHR A11" />
+ <feature name="vrc2-pin24" value="CHR A13" />
+ <feature name="vrc2-pin25" value="CHR A14" />
+ <feature name="vrc2-pin26" value="CHR A12" />
+ <feature name="vrc2-pin27" value="CHR A15" />
+ <feature name="vrc2-pin28" value="NC" />
<dataarea name="chr" size="131072">
<rom name="twinbee 3 - poko poko dai maou (japan) (beta).chr" size="131072" crc="22a2268f" sha1="a930b56984f1bf8cc08050a52a8e2ed2484619cf" offset="00000" status="baddump" />
</dataarea>
diff --git a/hash/nuon.xml b/hash/nuon.xml
index b4a1d677360..5fd27972e97 100644
--- a/hash/nuon.xml
+++ b/hash/nuon.xml
@@ -11,7 +11,7 @@ NOTE: This list is here only to document available dumps and it's not hooked up
<description>Ballistic</description>
<year>2000</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="ballstic" sha1="92b386f176eecc7d3b1e13675ccaf0b60a543175" />
</diskarea>
@@ -22,66 +22,66 @@ NOTE: This list is here only to document available dumps and it's not hooked up
<description>FreeFall 3050 A.D.</description>
<year>200?</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="freefall" sha1="56540609dcdf3d5234a1d045b28cba58ba6adae8" />
</diskarea>
</part>
</software>
-
+
<software name="is3">
<description>Iron Soldier 3</description>
<year>2001</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="is3" sha1="47dec1bbb819342cf8bc2fee98fc7b18d1302503" />
</diskarea>
</part>
</software>
-
+
<software name="merlinr">
<description>Merlin Racing</description>
<year>200?</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="merlinr" sha1="80116c3c9440492678f8f072c5fabd2cedb3034e" />
</diskarea>
</part>
</software>
-
+
<software name="spacinvx">
<description>Space Invaders XL</description>
<year>200?</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="spacinvx" sha1="76518e106ab04819f6b85cc3e1eea08968b7b249" />
</diskarea>
</part>
</software>
-
+
<software name="tempest3">
<description>Tempest 3000</description>
<year>200?</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="tempest3" sha1="48e44d3de2642bb7b4fc5a044caf4cb2e8ad5c25" />
</diskarea>
</part>
</software>
-
+
<software name="toshsamp">
<description>Toshiba Sampler (11-1-2000)</description>
<year>200?</year>
<publisher>VM Labs, Inc.</publisher>
- <part interface="dvdrom" name="dvdrom">
+ <part interface="dvdrom" name="dvdrom">
<diskarea name="dvdrom">
<disk name="toshsamp" sha1="e312c9cec7f11a4594c1a3340fbcc9f66a65e9b1" />
</diskarea>
</part>
</software>
-
+
</softwarelist>
diff --git a/hash/sgi_mips.xml b/hash/sgi_mips.xml
index 826c506d7ee..7735122d75b 100644
--- a/hash/sgi_mips.xml
+++ b/hash/sgi_mips.xml
@@ -1337,7 +1337,7 @@ license:CC0
<year>1996</year> <!-- 03/96 -->
<publisher>Silicon Graphics</publisher>
<part name="cdrom" interface="cdrom">
- <feature name="part_number" value=" 812-0478-001"/>
+ <feature name="part_number" value="812-0478-001"/>
<!-- Origin: jrra.zone -->
<diskarea name="cdrom">
<disk name="iris_development_option_6_2" sha1="eabaa5238a3515f1c71d693efc2781b4d1675cf9" />
@@ -2099,7 +2099,7 @@ license:CC0
<year>1993</year> <!-- 09/93 -->
<publisher>Silicon Graphics</publisher>
<part name="cdrom" interface="cdrom">
- <feature name="part_number" value=" 812-0232-002"/>
+ <feature name="part_number" value="812-0232-002"/>
<!-- Origin: jrra.zone -->
<diskarea name="cdrom">
<disk name="indy_irix_5_1_1" sha1="e041bee239367f926ce0cef0d16830bdbe49ea01" />
@@ -2231,7 +2231,7 @@ license:CC0
<publisher>Silicon Graphics</publisher>
<part name="cdrom1" interface="cdrom">
<feature name="part_number" value="812-0469-001"/>
- <feature name="part_id" value="IRIX 6.2 part 1 of 2"/>
+ <feature name="part_id" value="IRIX 6.2 part 1 of 2"/>
<!-- Origin: private dump. Verified SHA1 against a Plextor dump without C2 errors -->
<diskarea name="cdrom">
<disk name="irix_6_2_part_1_of_2" sha1="714ad598cde6a48132005e4728e7e7d18fdbbb1b" />
@@ -2246,7 +2246,7 @@ license:CC0
</diskarea>
</part>
</software>
-
+
<software name="irix_6_2_a" cloneof="irix_6_2">
<description>IRIX 6.2 with Indigo IMPACT 10000</description>
<year>1996</year>
@@ -2325,7 +2325,7 @@ license:CC0
</part>
<part name="cdrom4" interface="cdrom">
<feature name="part_number" value="812-0747-001"/>
- <feature name="part_id" value=" IRIX 6.5 Beta Applications"/>
+ <feature name="part_id" value="IRIX 6.5 Beta Applications"/>
<!-- Origin: jrra.zone -->
<diskarea name="cdrom">
<disk name="irix_6_5_beta_applications" sha1="6640a6b668de811d681bb8b17c16a44d67529c33" />
@@ -2357,7 +2357,7 @@ license:CC0
</part>
<part name="cdrom8" interface="cdrom">
<feature name="part_number" value="812-0753-001"/>
- <feature name="part_id" value=" IRIX 6.5 Beta O2 Demos"/>
+ <feature name="part_id" value="IRIX 6.5 Beta O2 Demos"/>
<!-- Origin: jrra.zone -->
<diskarea name="cdrom">
<disk name="irix_6_5_beta_o2_demos" sha1="c954caa735d92dcf4a6aba620c8d7ad44cf3b0bb" />
@@ -3337,7 +3337,7 @@ license:CC0
</diskarea>
</part>
<part name="cdrom4" interface="cdrom">
- <feature name="part_number" value="812-0877-022 "/>
+ <feature name="part_number" value="812-0877-022 "/>
<feature name="part_id" value="IRIX 6.5 Applications November 2003"/>
<!-- Origin: archive.org -->
<diskarea name="cdrom">
diff --git a/hash/specpls3_flop.xml b/hash/specpls3_flop.xml
index fac29e5684d..d500f11ae9d 100644
--- a/hash/specpls3_flop.xml
+++ b/hash/specpls3_flop.xml
@@ -645,7 +645,7 @@ license:CC0
</software>
<!--
- <software name="ledstorm">
+ <software name="ledstorm">
-->
<!-- SPS (CAPS) release 3585 -->
<!--
@@ -1012,7 +1012,7 @@ license:CC0
</software>
<!--
- <software name="rockhams">
+ <software name="rockhams">
-->
<!-- SPS (CAPS) release 3607 -->
<!--
@@ -1451,7 +1451,7 @@ license:CC0
</software>
<!--
- <software name="tigeroad">
+ <software name="tigeroad">
-->
<!-- SPS (CAPS) release 3625 -->
<!--
diff --git a/hash/vgmplay.xml b/hash/vgmplay.xml
index f308c5b3027..196ffee16c9 100644
--- a/hash/vgmplay.xml
+++ b/hash/vgmplay.xml
@@ -315154,7 +315154,7 @@ license:CC0
</software>
<!-- Project 2612 VGM Archives located at http://project2612.org/list.php
- Update May 2, 2020 - 5 new entries -->
+ Update May 2, 2020 - 5 new entries -->
<software name="joemont2_md">
<description>Joe Montana II - Sports Talk Football (GEN/MD)</description>
diff --git a/hash/x68k_flop.xml b/hash/x68k_flop.xml
index b32d506616b..61b2f892494 100644
--- a/hash/x68k_flop.xml
+++ b/hash/x68k_flop.xml
@@ -800,7 +800,7 @@ Most info on release dates and Jpn titles come from the following (wonderful) re
</part>
</software>
- <!-- boot OK, sometimes a line of garbage appear on background during gameplay (happened after continue on stage 3, hard to repro?) -->
+ <!-- boot OK, sometimes a line of garbage appear on background during gameplay (happened after continue on stage 3, hard to repro?) -->
<!-- old note: has video glitches on first stage, Ajax title logo on background (cannot repro) -->
<software name="ajax" supported="partial">
<description>Ajax</description>
@@ -22164,7 +22164,7 @@ sold through Takeru vending machines -->
</part>
</software>
- <!-- boot OK -->
+ <!-- boot OK -->
<!-- some projectiles don't move (cannot repro) -->
<software name="actshoot">
<description>Active Shoot</description>
diff --git a/scripts/src/machine.lua b/scripts/src/machine.lua
index 266dec7fd49..261c47a5e2a 100644
--- a/scripts/src/machine.lua
+++ b/scripts/src/machine.lua
@@ -4440,4 +4440,4 @@ if (MACHINES["SWIM3"]~=null) then
MAME_DIR .. "src/devices/machine/swim3.cpp",
MAME_DIR .. "src/devices/machine/swim3.h",
}
-end \ No newline at end of file
+end
diff --git a/src/devices/bus/a2bus/a2iwm.cpp b/src/devices/bus/a2bus/a2iwm.cpp
index bcc975aeefc..07d72144539 100644
--- a/src/devices/bus/a2bus/a2iwm.cpp
+++ b/src/devices/bus/a2bus/a2iwm.cpp
@@ -6,8 +6,8 @@
Implementation of the Apple II IWM controller card
- WANTED: there are no ROM dumps from this card in any form
- (the IWM card, the UniDisk )
+ WANTED: there are no ROM dumps from this card in any form
+ (the IWM card, the UniDisk )
*********************************************************************/
diff --git a/src/devices/bus/centronics/epson_lx810l.cpp b/src/devices/bus/centronics/epson_lx810l.cpp
index bc594bc97aa..cc188c8f998 100644
--- a/src/devices/bus/centronics/epson_lx810l.cpp
+++ b/src/devices/bus/centronics/epson_lx810l.cpp
@@ -595,7 +595,7 @@ uint8_t epson_lx810l_device::an3_r()
return res - 1; /* DIPSW2.4 */
}
-uint8_t epson_lx810l_device::an4_r()
+uint8_t epson_lx810l_device::an4_r()
{
return 0xff;
}
diff --git a/src/devices/bus/coco/coco_fdc.cpp b/src/devices/bus/coco/coco_fdc.cpp
index 03e14a6ce5d..cf68799357b 100644
--- a/src/devices/bus/coco/coco_fdc.cpp
+++ b/src/devices/bus/coco/coco_fdc.cpp
@@ -149,7 +149,7 @@ private:
// registers
u8 m_cache_controler;
u8 m_cache_pointer;
- required_device<ram_device> m_cache_buffer;
+ required_device<ram_device> m_cache_buffer;
};
diff --git a/src/devices/bus/coco/coco_ram.cpp b/src/devices/bus/coco/coco_ram.cpp
index 9879fbf1f92..222f1abedf3 100644
--- a/src/devices/bus/coco/coco_ram.cpp
+++ b/src/devices/bus/coco/coco_ram.cpp
@@ -6,7 +6,7 @@
Code for emulating the Disto RAM cartridge
- This cartridge came in several forms: 256K, 512K, 768K, and 1024K.
+ This cartridge came in several forms: 256K, 512K, 768K, and 1024K.
***************************************************************************/
@@ -53,7 +53,7 @@ namespace
private:
required_device<ram_device> m_staticram;
- int m_offset;
+ int m_offset;
};
};
@@ -124,7 +124,7 @@ void coco_pak_ram_device::device_reset()
void coco_pak_ram_device::scs_write(offs_t offset, u8 data)
{
-// int idata = data;
+// int idata = data;
switch(offset)
{
diff --git a/src/devices/bus/ecbbus/grip.cpp b/src/devices/bus/ecbbus/grip.cpp
index da925187f5e..88e3d2fe23b 100644
--- a/src/devices/bus/ecbbus/grip.cpp
+++ b/src/devices/bus/ecbbus/grip.cpp
@@ -791,7 +791,7 @@ void ecb_grip21_device::cxstb_w(uint8_t data)
void grip5_state::eprom_w(uint8_t data)
{
- membank("eprom")->set_entry(BIT(data, 0));
+ membank("eprom")->set_entry(BIT(data, 0));
}
@@ -801,7 +801,7 @@ void grip5_state::eprom_w(uint8_t data)
void grip5_state::dpage_w(uint8_t data)
{
- m_dpage = BIT(data, 7);
+ m_dpage = BIT(data, 7);
}
*/
diff --git a/src/devices/bus/ieee488/grid2102.cpp b/src/devices/bus/ieee488/grid2102.cpp
index 5505d6533ba..37301a2d774 100644
--- a/src/devices/bus/ieee488/grid2102.cpp
+++ b/src/devices/bus/ieee488/grid2102.cpp
@@ -23,15 +23,15 @@ DEFINE_DEVICE_TYPE(GRID2101_HDD, grid2101_hdd_device, "grid2101_hdd", "GRID2101_
#include "logmacro.h"
#define GRID2102_FETCH32(Array, Offset) ((uint32_t)(\
- (Array[Offset] << 0) |\
- (Array[Offset + 1] << 8) |\
- (Array[Offset + 2] << 16) |\
- (Array[Offset + 3] << 24)\
+ (Array[Offset] << 0) |\
+ (Array[Offset + 1] << 8) |\
+ (Array[Offset + 2] << 16) |\
+ (Array[Offset + 3] << 24)\
))
#define GRID2102_FETCH16(Array, Offset) ((uint16_t)(\
- (Array[Offset] << 0) |\
- (Array[Offset + 1] << 8)\
+ (Array[Offset] << 0) |\
+ (Array[Offset + 1] << 8)\
))
#define GRID2101_HARDDISK_DEV_ADDR 4
@@ -48,268 +48,268 @@ DEFINE_DEVICE_TYPE(GRID2101_HDD, grid2101_hdd_device, "grid2101_hdd", "GRID2101_
#define GRID210X_STATE_WRITING_DATA_WAIT 3
uint8_t grid2102_device::identify_response[56] = {0x00, 0x02, 0xf8, 0x01, 0xD0, 0x02, 0x01, 0x20, 0x01, 0x21, 0x01, 0x01, 0x00, 0x00,
- 0x34, 0x38, 0x20, 0x54, 0x50, 0x49, 0x20, 0x44, 0x53, 0x20, 0x44, 0x44, 0x20, 0x46,
- 0x4c, 0x4f, 0x50, 0x50, 0x59, 0x20, 0x20, 0x20, 0x20, 0x33, 0x30, 0x32, 0x33, 0x37,
- 0x2d, 0x30, 0x30, 0x00, 0x02, 0x09, 0x00};
+ 0x34, 0x38, 0x20, 0x54, 0x50, 0x49, 0x20, 0x44, 0x53, 0x20, 0x44, 0x44, 0x20, 0x46,
+ 0x4c, 0x4f, 0x50, 0x50, 0x59, 0x20, 0x20, 0x20, 0x20, 0x33, 0x30, 0x32, 0x33, 0x37,
+ 0x2d, 0x30, 0x30, 0x00, 0x02, 0x09, 0x00};
uint8_t grid2101_floppy_device::identify_response[56] = {0x00, 0x02, 0xf8, 0x01, 0xD0, 0x02, 0x01, 0x20, 0x01, 0x21, 0x01, 0x01, 0x00, 0x00,
- 0x34, 0x38, 0x20, 0x54, 0x50, 0x49, 0x20, 0x44, 0x53, 0x20, 0x44, 0x44, 0x20, 0x46,
- 0x4c, 0x4f, 0x50, 0x50, 0x59, 0x20, 0x20, 0x20, 0x20, 0x33, 0x30, 0x32, 0x33, 0x37,
- 0x2d, 0x30, 0x30, 0x00, 0x02, 0x09, 0x00};
+ 0x34, 0x38, 0x20, 0x54, 0x50, 0x49, 0x20, 0x44, 0x53, 0x20, 0x44, 0x44, 0x20, 0x46,
+ 0x4c, 0x4f, 0x50, 0x50, 0x59, 0x20, 0x20, 0x20, 0x20, 0x33, 0x30, 0x32, 0x33, 0x37,
+ 0x2d, 0x30, 0x30, 0x00, 0x02, 0x09, 0x00};
uint8_t grid2101_hdd_device::identify_response[56] = {
- 0x00, 0x02, 0xF8, 0x01, 0x8C, 0x51, 0x01, 0x00, 0x00, 0x00, 0x00, 0x01, 0x00, 0x00, 0x4D, 0x41,
- 0x4D, 0x45, 0x20, 0x48, 0x41, 0x52, 0x44, 0x44, 0x49, 0x53, 0x4B, 0x20, 0x44, 0x52, 0x49, 0x56,
- 0x45, 0x20, 0x20, 0x20, 0x20, 0x20, 0x47, 0x52, 0x49, 0x44, 0x32, 0x31, 0x30, 0x31, 0x00, 0x02,
- 0x11, 0x00, 0x33, 0x01, 0x00, 0x00, 0x04, 0x00
+ 0x00, 0x02, 0xF8, 0x01, 0x8C, 0x51, 0x01, 0x00, 0x00, 0x00, 0x00, 0x01, 0x00, 0x00, 0x4D, 0x41,
+ 0x4D, 0x45, 0x20, 0x48, 0x41, 0x52, 0x44, 0x44, 0x49, 0x53, 0x4B, 0x20, 0x44, 0x52, 0x49, 0x56,
+ 0x45, 0x20, 0x20, 0x20, 0x20, 0x20, 0x47, 0x52, 0x49, 0x44, 0x32, 0x31, 0x30, 0x31, 0x00, 0x02,
+ 0x11, 0x00, 0x33, 0x01, 0x00, 0x00, 0x04, 0x00
};
grid210x_device::grid210x_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, uint32_t clock, int bus_addr, uint8_t *identify_response, attotime read_delay)
: device_t(mconfig, type, tag, owner, clock),
device_ieee488_interface(mconfig, *this),
- device_image_interface(mconfig, *this),
- m_gpib_loop_state(GRID210X_GPIB_STATE_IDLE),
- m_floppy_loop_state(GRID210X_STATE_IDLE),
- listening(false),
- talking(false),
- serial_polling(false),
- has_srq(false),
- serial_poll_byte(0),
- bus_addr(bus_addr),
- identify_response_ptr(identify_response),
- read_delay(read_delay)
+ device_image_interface(mconfig, *this),
+ m_gpib_loop_state(GRID210X_GPIB_STATE_IDLE),
+ m_floppy_loop_state(GRID210X_STATE_IDLE),
+ listening(false),
+ talking(false),
+ serial_polling(false),
+ has_srq(false),
+ serial_poll_byte(0),
+ bus_addr(bus_addr),
+ identify_response_ptr(identify_response),
+ read_delay(read_delay)
{
-
+
}
void grid210x_device::device_start() {
- m_bus->ndac_w(this, 1);
- m_bus->nrfd_w(this, 1);
- m_delay_timer = timer_alloc(0);
+ m_bus->ndac_w(this, 1);
+ m_bus->nrfd_w(this, 1);
+ m_delay_timer = timer_alloc(0);
}
void grid210x_device::device_timer(emu_timer &timer, device_timer_id id, int param, void *ptr) {
- if (m_floppy_loop_state == GRID210X_STATE_READING_DATA) {
- std::unique_ptr<uint8_t[]> data(new uint8_t[io_size]);
- fseek(floppy_sector_number * 512, SEEK_SET);
- fread(data.get(), io_size);
- for (int i = 0; i < io_size; i++) {
- m_output_data_buffer.push(data[i]);
- }
- serial_poll_byte = 0x0F;
- has_srq = true;
- m_bus->srq_w(this, 0);
- m_floppy_loop_state = GRID210X_STATE_IDLE;
- } else if (m_floppy_loop_state == GRID210X_STATE_WRITING_DATA_WAIT) {
- // send an srq as success flag
- for (int i = 0; i < 7; i++) { // FIXME:
- m_output_data_buffer.push(0);
- }
- serial_poll_byte = 0x0F;
- has_srq = true;
- m_bus->srq_w(this, 0);
- m_floppy_loop_state = GRID210X_STATE_IDLE;
- }
+ if (m_floppy_loop_state == GRID210X_STATE_READING_DATA) {
+ std::unique_ptr<uint8_t[]> data(new uint8_t[io_size]);
+ fseek(floppy_sector_number * 512, SEEK_SET);
+ fread(data.get(), io_size);
+ for (int i = 0; i < io_size; i++) {
+ m_output_data_buffer.push(data[i]);
+ }
+ serial_poll_byte = 0x0F;
+ has_srq = true;
+ m_bus->srq_w(this, 0);
+ m_floppy_loop_state = GRID210X_STATE_IDLE;
+ } else if (m_floppy_loop_state == GRID210X_STATE_WRITING_DATA_WAIT) {
+ // send an srq as success flag
+ for (int i = 0; i < 7; i++) { // FIXME:
+ m_output_data_buffer.push(0);
+ }
+ serial_poll_byte = 0x0F;
+ has_srq = true;
+ m_bus->srq_w(this, 0);
+ m_floppy_loop_state = GRID210X_STATE_IDLE;
+ }
}
void grid210x_device::ieee488_eoi(int state) {
- // logerror("grid210x_device eoi state set to %d\n", state);
+ // logerror("grid210x_device eoi state set to %d\n", state);
}
void grid210x_device::accept_transfer() {
- if (m_floppy_loop_state == GRID210X_STATE_IDLE) {
- if (m_data_buffer.size() >= 0xA) {
- uint8_t command = m_data_buffer[0];
- uint32_t sector_number = GRID2102_FETCH32(m_data_buffer, 3);
- uint16_t data_size = GRID2102_FETCH16(m_data_buffer, 7);
- LOG("grid210x_device command %u, data size %u, sector no %u\n", (unsigned)command, (unsigned)data_size, (unsigned)sector_number);
- (void)(sector_number);
- if (command == 0x1) { // ddGetStatus
- for (int i = 0; i < 56 && i < data_size; i++) {
- m_output_data_buffer.push(identify_response_ptr[i]);
- }
- } else if (command == 0x4) { // ddRead
- floppy_sector_number = sector_number;
- io_size = data_size;
- m_floppy_loop_state = GRID210X_STATE_READING_DATA;
- m_delay_timer->adjust(read_delay);
- } else if (command == 0x5) {
- floppy_sector_number = sector_number;
- io_size = data_size;
- m_floppy_loop_state = GRID210X_STATE_WRITING_DATA;
- }
- } // else something is wrong, ignore
- } else if (m_floppy_loop_state == GRID210X_STATE_WRITING_DATA) {
- // write
- if (floppy_sector_number != 0xFFFFFFFF) {
- fseek(floppy_sector_number * 512, SEEK_SET);
- fwrite(m_data_buffer.data(), m_data_buffer.size());
- } else {
- // TODO: set status
- }
- // logerror("grid210x_device write sector %d\n", floppy_sector_number);
- // wait
- m_floppy_loop_state = GRID210X_STATE_WRITING_DATA_WAIT;
- m_delay_timer->adjust(read_delay);
- }
+ if (m_floppy_loop_state == GRID210X_STATE_IDLE) {
+ if (m_data_buffer.size() >= 0xA) {
+ uint8_t command = m_data_buffer[0];
+ uint32_t sector_number = GRID2102_FETCH32(m_data_buffer, 3);
+ uint16_t data_size = GRID2102_FETCH16(m_data_buffer, 7);
+ LOG("grid210x_device command %u, data size %u, sector no %u\n", (unsigned)command, (unsigned)data_size, (unsigned)sector_number);
+ (void)(sector_number);
+ if (command == 0x1) { // ddGetStatus
+ for (int i = 0; i < 56 && i < data_size; i++) {
+ m_output_data_buffer.push(identify_response_ptr[i]);
+ }
+ } else if (command == 0x4) { // ddRead
+ floppy_sector_number = sector_number;
+ io_size = data_size;
+ m_floppy_loop_state = GRID210X_STATE_READING_DATA;
+ m_delay_timer->adjust(read_delay);
+ } else if (command == 0x5) {
+ floppy_sector_number = sector_number;
+ io_size = data_size;
+ m_floppy_loop_state = GRID210X_STATE_WRITING_DATA;
+ }
+ } // else something is wrong, ignore
+ } else if (m_floppy_loop_state == GRID210X_STATE_WRITING_DATA) {
+ // write
+ if (floppy_sector_number != 0xFFFFFFFF) {
+ fseek(floppy_sector_number * 512, SEEK_SET);
+ fwrite(m_data_buffer.data(), m_data_buffer.size());
+ } else {
+ // TODO: set status
+ }
+ // logerror("grid210x_device write sector %d\n", floppy_sector_number);
+ // wait
+ m_floppy_loop_state = GRID210X_STATE_WRITING_DATA_WAIT;
+ m_delay_timer->adjust(read_delay);
+ }
}
void grid210x_device::ieee488_dav(int state) {
- if(state == 0 && m_gpib_loop_state == GRID210X_GPIB_STATE_IDLE) {
- // read data and wait for transfer end
- int atn = m_bus->atn_r() ^ 1;
- m_bus->nrfd_w(this, 0);
- uint8_t data = m_bus->dio_r() ^ 0xFF;
- int eoi = m_bus->eoi_r() ^ 1;
- LOG_BYTES("grid210x_device byte recv %02x atn %d eoi %d\n", data, atn, eoi);
- m_last_recv_byte = data;
- m_last_recv_atn = atn;
- m_last_recv_eoi = eoi;
- m_bus->ndac_w(this, 1);
- m_gpib_loop_state = GRID210X_GPIB_STATE_WAIT_DAV_FALSE;
- } else if (state == 1 && m_gpib_loop_state == GRID210X_GPIB_STATE_WAIT_DAV_FALSE) {
- // restore initial state
- // m_bus->ndac_w(this, 0);
- m_bus->nrfd_w(this, 1);
- m_gpib_loop_state = GRID210X_GPIB_STATE_IDLE;
- update_ndac(m_bus->atn_r() ^ 1);
-
- if (m_last_recv_atn) {
- if ((m_last_recv_byte & 0xE0) == 0x20) {
- if ((m_last_recv_byte & 0x1F) == bus_addr) {
- // dev-id = 5
- listening = true;
- LOG("grid210x_device now listening\n");
- } else if((m_last_recv_byte & 0x1F) == 0x1F) {
- // reset listen
- listening = false;
- LOG("grid210x_device now not listening\n");
- }
- } else if ((m_last_recv_byte & 0xE0) == 0x40) {
- if ((m_last_recv_byte & 0x1F) == bus_addr) {
- // dev-id = 5
- talking = true;
- LOG("grid210x_device now talking\n");
- } else {
- // reset talk
- talking = false;
- LOG("grid210x_device now not talking\n");
- }
- } else if (m_last_recv_byte == 0x18) {
- // serial poll enable
- serial_polling = true;
- } else if (m_last_recv_byte == 0x19) {
- // serial poll disable
- serial_polling = false;
- }
- } else if (listening) {
- m_data_buffer.push_back(m_last_recv_byte);
- if (m_last_recv_eoi) {
- accept_transfer();
- m_data_buffer.clear();
- }
- }
-
- if (talking) {
- if (serial_polling) {
- bool had_srq = has_srq;
- if (has_srq) {
- has_srq = false;
- m_bus->srq_w(this, 1);
- }
- m_byte_to_send = serial_poll_byte | (had_srq ? 0x40 : 0);
- serial_poll_byte = 0;
- m_send_eoi = 1;
- m_gpib_loop_state = GRID210X_GPIB_STATE_SEND_DATA_START;
- } else if (!m_output_data_buffer.empty()) {
- m_byte_to_send = m_output_data_buffer.front();
- m_output_data_buffer.pop();
- m_send_eoi = m_output_data_buffer.empty() ? 1 : 0;
- m_gpib_loop_state = GRID210X_GPIB_STATE_SEND_DATA_START;
- }
- }
- }
+ if(state == 0 && m_gpib_loop_state == GRID210X_GPIB_STATE_IDLE) {
+ // read data and wait for transfer end
+ int atn = m_bus->atn_r() ^ 1;
+ m_bus->nrfd_w(this, 0);
+ uint8_t data = m_bus->dio_r() ^ 0xFF;
+ int eoi = m_bus->eoi_r() ^ 1;
+ LOG_BYTES("grid210x_device byte recv %02x atn %d eoi %d\n", data, atn, eoi);
+ m_last_recv_byte = data;
+ m_last_recv_atn = atn;
+ m_last_recv_eoi = eoi;
+ m_bus->ndac_w(this, 1);
+ m_gpib_loop_state = GRID210X_GPIB_STATE_WAIT_DAV_FALSE;
+ } else if (state == 1 && m_gpib_loop_state == GRID210X_GPIB_STATE_WAIT_DAV_FALSE) {
+ // restore initial state
+ // m_bus->ndac_w(this, 0);
+ m_bus->nrfd_w(this, 1);
+ m_gpib_loop_state = GRID210X_GPIB_STATE_IDLE;
+ update_ndac(m_bus->atn_r() ^ 1);
+
+ if (m_last_recv_atn) {
+ if ((m_last_recv_byte & 0xE0) == 0x20) {
+ if ((m_last_recv_byte & 0x1F) == bus_addr) {
+ // dev-id = 5
+ listening = true;
+ LOG("grid210x_device now listening\n");
+ } else if((m_last_recv_byte & 0x1F) == 0x1F) {
+ // reset listen
+ listening = false;
+ LOG("grid210x_device now not listening\n");
+ }
+ } else if ((m_last_recv_byte & 0xE0) == 0x40) {
+ if ((m_last_recv_byte & 0x1F) == bus_addr) {
+ // dev-id = 5
+ talking = true;
+ LOG("grid210x_device now talking\n");
+ } else {
+ // reset talk
+ talking = false;
+ LOG("grid210x_device now not talking\n");
+ }
+ } else if (m_last_recv_byte == 0x18) {
+ // serial poll enable
+ serial_polling = true;
+ } else if (m_last_recv_byte == 0x19) {
+ // serial poll disable
+ serial_polling = false;
+ }
+ } else if (listening) {
+ m_data_buffer.push_back(m_last_recv_byte);
+ if (m_last_recv_eoi) {
+ accept_transfer();
+ m_data_buffer.clear();
+ }
+ }
+
+ if (talking) {
+ if (serial_polling) {
+ bool had_srq = has_srq;
+ if (has_srq) {
+ has_srq = false;
+ m_bus->srq_w(this, 1);
+ }
+ m_byte_to_send = serial_poll_byte | (had_srq ? 0x40 : 0);
+ serial_poll_byte = 0;
+ m_send_eoi = 1;
+ m_gpib_loop_state = GRID210X_GPIB_STATE_SEND_DATA_START;
+ } else if (!m_output_data_buffer.empty()) {
+ m_byte_to_send = m_output_data_buffer.front();
+ m_output_data_buffer.pop();
+ m_send_eoi = m_output_data_buffer.empty() ? 1 : 0;
+ m_gpib_loop_state = GRID210X_GPIB_STATE_SEND_DATA_START;
+ }
+ }
+ }
}
void grid210x_device::ieee488_nrfd(int state) {
- if (state == 1 && m_gpib_loop_state == GRID210X_GPIB_STATE_SEND_DATA_START) {
- // set dio and assert dav
- m_bus->host_dio_w(m_byte_to_send ^ 0xFF);
- m_bus->eoi_w(this, m_send_eoi ^ 1);
- m_bus->dav_w(this, 0);
- m_bus->ndac_w(this, 1);
- m_gpib_loop_state = GRID210X_GPIB_STATE_WAIT_NDAC_FALSE;
- LOG_BYTES("grid210x_device byte send %02x eoi %d\n", m_byte_to_send, m_send_eoi);
- ieee488_ndac(m_bus->ndac_r());
- }
- // logerror("grid210x_device nrfd state set to %d\n", state);
+ if (state == 1 && m_gpib_loop_state == GRID210X_GPIB_STATE_SEND_DATA_START) {
+ // set dio and assert dav
+ m_bus->host_dio_w(m_byte_to_send ^ 0xFF);
+ m_bus->eoi_w(this, m_send_eoi ^ 1);
+ m_bus->dav_w(this, 0);
+ m_bus->ndac_w(this, 1);
+ m_gpib_loop_state = GRID210X_GPIB_STATE_WAIT_NDAC_FALSE;
+ LOG_BYTES("grid210x_device byte send %02x eoi %d\n", m_byte_to_send, m_send_eoi);
+ ieee488_ndac(m_bus->ndac_r());
+ }
+ // logerror("grid210x_device nrfd state set to %d\n", state);
}
void grid210x_device::ieee488_ndac(int state) {
- if (state == 1 && m_gpib_loop_state == GRID210X_GPIB_STATE_WAIT_NDAC_FALSE) {
- // restore initial state
- // logerror("grid210x_device restore ndac nrfd dav eoi\n");
- m_bus->nrfd_w(this, 1);
- m_bus->dav_w(this, 1);
- m_bus->eoi_w(this, 1);
- m_gpib_loop_state = GRID210X_GPIB_STATE_IDLE;
- if (serial_polling) {
- talking = false;
- }
- update_ndac(m_bus->atn_r() ^ 1);
-
- if (!serial_polling && talking && !m_output_data_buffer.empty()) {
- m_byte_to_send = m_output_data_buffer.front();
- m_output_data_buffer.pop();
- m_send_eoi = m_output_data_buffer.empty() ? 1 : 0;
- m_gpib_loop_state = GRID210X_GPIB_STATE_SEND_DATA_START;
- }
- }
- // logerror("grid210x_device ndac state set to %d\n", state);
+ if (state == 1 && m_gpib_loop_state == GRID210X_GPIB_STATE_WAIT_NDAC_FALSE) {
+ // restore initial state
+ // logerror("grid210x_device restore ndac nrfd dav eoi\n");
+ m_bus->nrfd_w(this, 1);
+ m_bus->dav_w(this, 1);
+ m_bus->eoi_w(this, 1);
+ m_gpib_loop_state = GRID210X_GPIB_STATE_IDLE;
+ if (serial_polling) {
+ talking = false;
+ }
+ update_ndac(m_bus->atn_r() ^ 1);
+
+ if (!serial_polling && talking && !m_output_data_buffer.empty()) {
+ m_byte_to_send = m_output_data_buffer.front();
+ m_output_data_buffer.pop();
+ m_send_eoi = m_output_data_buffer.empty() ? 1 : 0;
+ m_gpib_loop_state = GRID210X_GPIB_STATE_SEND_DATA_START;
+ }
+ }
+ // logerror("grid210x_device ndac state set to %d\n", state);
}
void grid210x_device::ieee488_ifc(int state) {
- // logerror("grid210x_device ifc state set to %d\n", state);
+ // logerror("grid210x_device ifc state set to %d\n", state);
}
void grid210x_device::ieee488_srq(int state) {
- // logerror("grid210x_device srq state set to %d\n", state);
+ // logerror("grid210x_device srq state set to %d\n", state);
}
void grid210x_device::ieee488_atn(int state) {
- // logerror("grid210x_device atn state set to %d\n", state);
- update_ndac(state ^ 1);
+ // logerror("grid210x_device atn state set to %d\n", state);
+ update_ndac(state ^ 1);
}
void grid210x_device::update_ndac(int atn) {
- if (m_gpib_loop_state == GRID210X_GPIB_STATE_IDLE) {
- if (atn) {
- // pull NDAC low
- m_bus->ndac_w(this, 0);
- } else {
- // pull NDAC high if not listener and low if listener
- m_bus->ndac_w(this, listening ? 0 : 1);
- }
- }
+ if (m_gpib_loop_state == GRID210X_GPIB_STATE_IDLE) {
+ if (atn) {
+ // pull NDAC low
+ m_bus->ndac_w(this, 0);
+ } else {
+ // pull NDAC high if not listener and low if listener
+ m_bus->ndac_w(this, listening ? 0 : 1);
+ }
+ }
}
void grid210x_device::ieee488_ren(int state) {
- LOG("grid210x_device ren state set to %d\n", state);
+ LOG("grid210x_device ren state set to %d\n", state);
}
grid2101_hdd_device::grid2101_hdd_device(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock)
- : grid210x_device(mconfig, GRID2101_HDD, tag, owner, clock, 4, identify_response, attotime::from_usec(150))
+ : grid210x_device(mconfig, GRID2101_HDD, tag, owner, clock, 4, identify_response, attotime::from_usec(150))
{
-
+
}
grid2101_floppy_device::grid2101_floppy_device(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock) : grid210x_device(mconfig, GRID2101_FLOPPY, tag, owner, clock, 5, identify_response)
{
-
+
}
grid2102_device::grid2102_device(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock) : grid210x_device(mconfig, GRID2102, tag, owner, clock, 6, identify_response)
{
-
+
}
diff --git a/src/devices/bus/qbus/pc11.cpp b/src/devices/bus/qbus/pc11.cpp
index 46803aa0641..395a0235388 100644
--- a/src/devices/bus/qbus/pc11.cpp
+++ b/src/devices/bus/qbus/pc11.cpp
@@ -2,7 +2,7 @@
// copyright-holders:Sergey Svishchev
/***************************************************************************
- DEC PC11 paper tape reader and punch controller (punch not implemented)
+ DEC PC11 paper tape reader and punch controller (punch not implemented)
***************************************************************************/
diff --git a/src/devices/bus/qbus/pc11.h b/src/devices/bus/qbus/pc11.h
index 5f0cefae924..0ecba20bc16 100644
--- a/src/devices/bus/qbus/pc11.h
+++ b/src/devices/bus/qbus/pc11.h
@@ -2,7 +2,7 @@
// copyright-holders:Sergey Svishchev
/***************************************************************************
- DEC PC11 paper tape reader and punch controller (punch not implemented)
+ DEC PC11 paper tape reader and punch controller (punch not implemented)
***************************************************************************/
diff --git a/src/devices/bus/qbus/qbus.h b/src/devices/bus/qbus/qbus.h
index cba9ef3b9e2..6ad2126d0eb 100644
--- a/src/devices/bus/qbus/qbus.h
+++ b/src/devices/bus/qbus/qbus.h
@@ -54,7 +54,7 @@ private:
class qbus_device : public device_t,
public device_memory_interface,
- public device_z80daisy_interface
+ public device_z80daisy_interface
{
public:
// construction/destruction
diff --git a/src/devices/bus/spectrum/beta.cpp b/src/devices/bus/spectrum/beta.cpp
index c20bd242d4c..f6f6ba84596 100644
--- a/src/devices/bus/spectrum/beta.cpp
+++ b/src/devices/bus/spectrum/beta.cpp
@@ -84,7 +84,7 @@
V2: D7 BDI ROM_latch (0=enable, 1=disble), D4 - FDC HLT, D3 - SIDE, D0-2 - floppy drive select (bitmask, active low).
V3-V4: D7 BDI ROM_latch (0=enable, 1=disble), D6 - FDC DDEN, D4 - SIDE, D3 - FDC HLT, D2 - FDC /MR (reset), D0-1 - floppy drive select (binary value).
CBI clones: D5 - printer port /STROBE
- IO read port 0b1xxxx111 <- D7 - FDC INTRQ, D6 - FDC DRQ
+ IO read port 0b1xxxx111 <- D7 - FDC INTRQ, D6 - FDC DRQ
IO read/write ports 0b0YYxx111 - access FDC ports YY
So mostly the same as beta128, except for new BDI ROM_latch bit
diff --git a/src/devices/bus/spectrum/beta128.cpp b/src/devices/bus/spectrum/beta128.cpp
index 3cc8caf69d9..70c9f77cd66 100644
--- a/src/devices/bus/spectrum/beta128.cpp
+++ b/src/devices/bus/spectrum/beta128.cpp
@@ -11,7 +11,7 @@
is moved from 3cxx to 3dxx for example)
TODO:
- original ROMs should have bits 0 and 7 swapped
+ original ROMs should have bits 0 and 7 swapped
there were many unofficial ROMs available for this, make them
available for use.
diff --git a/src/devices/bus/spectrum/d40.cpp b/src/devices/bus/spectrum/d40.cpp
index 94c94de63af..693eae074b0 100644
--- a/src/devices/bus/spectrum/d40.cpp
+++ b/src/devices/bus/spectrum/d40.cpp
@@ -2,8 +2,8 @@
// copyright-holders:MetalliC
/**********************************************************************
- Didaktik D40/D80 disk interface
- (C) 1991 Didaktik Scalica
+ Didaktik D40/D80 disk interface
+ (C) 1991 Didaktik Scalica
**********************************************************************/
diff --git a/src/devices/cpu/i960/i960dis.cpp b/src/devices/cpu/i960/i960dis.cpp
index 5bb99c75458..66db16451b2 100644
--- a/src/devices/cpu/i960/i960dis.cpp
+++ b/src/devices/cpu/i960/i960dis.cpp
@@ -75,7 +75,7 @@ const i960_disassembler::mnemonic_t i960_disassembler::mnem_reg[197] =
{ "notbit", 0x580, -3 }, { "and", 0x581, -3 }, { "andnot", 0x582, -3 }, { "setbit", 0x583, -3 }, // 58
{ "notand", 0x584, -3 }, { "xor", 0x586, -3 }, { "or", 0x587, -3 },
{ "nor", 0x588, -3 }, { "xnor", 0x589, -3 }, { "not", 0x58a, -2 }, { "ornot", 0x58b, -3 },
- { "clrbit", 0x58c, -3 }, { "notor", 0x58d, -3 }, { "nand", 0x58e, -3 }, { "alterbit", 0x58f, -3 },
+ { "clrbit", 0x58c, -3 }, { "notor", 0x58d, -3 }, { "nand", 0x58e, -3 }, { "alterbit", 0x58f, -3 },
{ "addo", 0x590, -3 }, { "addi", 0x591, -3 }, { "subo", 0x592, -3 }, { "subi", 0x593, -3 }, // 59
{ "cmpob", 0x594, 2 }, { "cmpib", 0x595, 2 }, { "cmpos", 0x596, 2 }, { "cmpis", 0x597, 2 },
@@ -133,7 +133,7 @@ const i960_disassembler::mnemonic_t i960_disassembler::mnem_reg[197] =
{ "cvtri", 0x6c0, -20 }, { "cvtril", 0x6c1, -20 }, { "cvtzri", 0x6c2, -20 }, { "cvtzril", 0x6c3, -20 }, // 6c
{ "movr", 0x6c9, -20 },
-
+
{ "movrl", 0x6d9, -20 }, // 6d
{ "movre", 0x6e1, -20 }, { "cpysre", 0x6e2, -30 }, { "cpyrsre", 0x6e3, -30 }, // 6e
@@ -241,7 +241,7 @@ offs_t i960_disassembler::dis_decode_cobr(std::ostream &stream, u32 iCode, u32 i
switch(cnt)
{
case 1: // 1 operand (test*)
- // For the test-if instructions, only the srcl field is used. Here, this field specifies a destination global or local register (ml is ignored).
+ // For the test-if instructions, only the srcl field is used. Here, this field specifies a destination global or local register (ml is ignored).
util::stream_format(stream, "%-8s%s", mnemonic[op].mnem, regnames[src1]);
break;
case 3: // 3 operands
diff --git a/src/devices/cpu/jaguar/jaguar.cpp b/src/devices/cpu/jaguar/jaguar.cpp
index 983df1ec4a9..fbfcc0c8759 100644
--- a/src/devices/cpu/jaguar/jaguar.cpp
+++ b/src/devices/cpu/jaguar/jaguar.cpp
@@ -11,8 +11,8 @@
Currently implementation is similar to single stepping
with single cycle
- Implement and acknowlodge remain registers;
- - Improve delay slot display in debugger (highlight current instruction
- doesn't work but instruction hook does);
+ - Improve delay slot display in debugger (highlight current instruction
+ doesn't work but instruction hook does);
***************************************************************************/
@@ -265,7 +265,7 @@ void jaguar_cpu_device::check_irqs()
latch &= mask;
if (latch == 0)
return;
-
+
/* determine which interrupt */
for (int i = 0; i < 6; i++)
if (latch & (1 << i))
@@ -371,7 +371,7 @@ void jaguar_cpu_device::device_start()
save_item(NAME(m_imask));
save_item(NAME(m_div_remainder));
save_item(NAME(m_div_offset));
-
+
save_item(NAME(m_io_end));
save_item(NAME(m_io_pc));
save_item(NAME(m_io_status));
@@ -1292,10 +1292,10 @@ void jaguar_cpu_device::io_common_map(address_map &map)
map(0x00, 0x03).rw(FUNC(jaguar_cpu_device::flags_r), FUNC(jaguar_cpu_device::flags_w));
map(0x04, 0x07).w(FUNC(jaguar_cpu_device::matrix_control_w));
map(0x08, 0x0b).w(FUNC(jaguar_cpu_device::matrix_address_w));
-// map(0x0c, 0x0f) endian
+// map(0x0c, 0x0f) endian
map(0x10, 0x13).w(FUNC(jaguar_cpu_device::pc_w));
map(0x14, 0x17).rw(FUNC(jaguar_cpu_device::status_r), FUNC(jaguar_cpu_device::control_w));
-// map(0x18, 0x1b) implementation specific
+// map(0x18, 0x1b) implementation specific
map(0x1c, 0x1f).rw(FUNC(jaguar_cpu_device::div_remainder_r), FUNC(jaguar_cpu_device::div_control_w));
}
@@ -1327,11 +1327,11 @@ void jaguar_cpu_device::flags_w(offs_t offset, u32 data, u32 mem_mask)
// clear imask only on bit 3 clear (1 has no effect)
if ((m_flags & 0x08) == 0)
m_imask = false;
-
+
// update int latch & mask
m_int_mask = (m_flags >> 4) & 0x1f;
m_int_latch &= ~((m_flags >> 9) & 0x1f);
-
+
// TODO: move to specific handler
if (m_isdsp)
{
@@ -1370,11 +1370,11 @@ void jaguar_cpu_device::pc_w(offs_t offset, u32 data, u32 mem_mask)
/*
* Data Organization Register
- * Note: The canonical way to set this up from 68k is $00070007,
+ * Note: The canonical way to set this up from 68k is $00070007,
* so that Power-On endianness doesn't matter. 1=Big Endian
* ---- -x-- Instruction endianness
* ---- --x- Pixel endianness (GPU only)
- * ---- ---x I/O endianness
+ * ---- ---x I/O endianness
*/
// TODO: just log if anything farts for now, change to bit struct once we have something to test out
void jaguar_cpu_device::end_w(offs_t offset, u32 data, u32 mem_mask)
@@ -1400,7 +1400,7 @@ void jaguardsp_cpu_device::dsp_end_w(offs_t offset, u32 data, u32 mem_mask)
* y ---- -xxx xx-- ---- interrupt latch (y is DSP specific) (r/o)
* - ---- ---- --0- ---- <unused>
* - ---- ---- ---x x--- single step regs
- * - ---- ---- ---- -x-- GPUINT0 or DSPINT0
+ * - ---- ---- ---- -x-- GPUINT0 or DSPINT0
* - ---- ---- ---- --x- Host interrupt (w/o)
* - ---- ---- ---- ---x GPUGO or DSPGO flag
*
@@ -1428,19 +1428,19 @@ void jaguar_cpu_device::control_w(offs_t offset, u32 data, u32 mem_mask)
bool new_go = BIT(m_io_status, 0);
if (new_go != m_go)
go_w(new_go);
-
+
if (BIT(m_io_status, 1))
m_cpu_interrupt(ASSERT_LINE);
-
+
// TODO: following does nothing if set by itself, or acts as a trap?
if (BIT(m_io_status, 2))
{
m_int_latch |= 1;
check_irqs();
}
-
+
// TODO: single step handling
-
+
m_bus_hog = BIT(m_io_status, 11);
// TODO: protect/protectse uses this, why?
if (m_bus_hog == true)
diff --git a/src/devices/cpu/jaguar/jaguar.h b/src/devices/cpu/jaguar/jaguar.h
index 5cd4c616a69..90ee5aef405 100644
--- a/src/devices/cpu/jaguar/jaguar.h
+++ b/src/devices/cpu/jaguar/jaguar.h
@@ -82,7 +82,7 @@ protected:
// device_state_interface overrides
virtual void state_string_export(const device_state_entry &entry, std::string &str) const override;
-
+
// I/Os (common)
u32 flags_r();
void flags_w(offs_t offset, u32 data, u32 mem_mask = ~0);
@@ -243,7 +243,7 @@ protected:
u32 m_io_status;
u32 m_io_mtxc;
u32 m_io_mtxa;
-
+
u32 m_pc;
u32 m_flags;
bool m_imask;
@@ -256,7 +256,7 @@ protected:
bool m_bus_hog;
u32 m_div_remainder;
bool m_div_offset;
-
+
// GPU specific
u32 m_hidata;
static const op_func gpu_op_table[64];
diff --git a/src/devices/cpu/m6502/m6502.cpp b/src/devices/cpu/m6502/m6502.cpp
index 58ab7f7b313..7999749153f 100644
--- a/src/devices/cpu/m6502/m6502.cpp
+++ b/src/devices/cpu/m6502/m6502.cpp
@@ -43,7 +43,7 @@ void m6502_device::device_start()
}
void m6502_device::init()
-{
+{
space(AS_PROGRAM).cache(mintf->cprogram);
space(has_space(AS_OPCODES) ? AS_OPCODES : AS_PROGRAM).cache(mintf->csprogram);
if(space(AS_PROGRAM).addr_width() > 14)
diff --git a/src/devices/machine/am2901b.cpp b/src/devices/machine/am2901b.cpp
index bdea385a183..a37ec638085 100644
--- a/src/devices/machine/am2901b.cpp
+++ b/src/devices/machine/am2901b.cpp
@@ -6,16 +6,16 @@
AMD Am2901B / Am2901C
Four-Bit Bipolar Microprocessor Slice
- To Do:
- - Opcode hookup
- - Verification
+ To Do:
+ - Opcode hookup
+ - Verification
***************************************************************************/
#include "emu.h"
#include "am2901b.h"
-#define VERBOSE (1)
+#define VERBOSE (1)
#include "logmacro.h"
/*****************************************************************************/
@@ -225,4 +225,4 @@ void am2901b_device::disassemble()
snprintf(dasm_buf + buf_idx, ARRAY_LENGTH(dasm_buf) - 12, "%c,%c", s_r_table[m_i & 7], s_s_table[m_i & 7]);
LOG("%s: %s\n", machine().describe_context(), dasm_buf);
-} \ No newline at end of file
+}
diff --git a/src/devices/machine/generalplus_gpl16250soc.cpp b/src/devices/machine/generalplus_gpl16250soc.cpp
index 54bed91ff31..60bd40ae33d 100644
--- a/src/devices/machine/generalplus_gpl16250soc.cpp
+++ b/src/devices/machine/generalplus_gpl16250soc.cpp
@@ -212,10 +212,10 @@ void sunplus_gcm394_base_device::trigger_systemm_dma(int channel)
// what is it waiting for when we need these? (needed on some service mode screens)
//if (mem.read_word(0x3f368) == 0x4840)
- // mem.write_word(0x3f368, 0x4841); // cars 2 IRQ? wait hack
+ // mem.write_word(0x3f368, 0x4841); // cars 2 IRQ? wait hack
//if (mem.read_word(0x4d8d4) == 0x4840)
- // mem.write_word(0x4d8d4, 0x4841); // golden tee IRQ? wait hack
+ // mem.write_word(0x4d8d4, 0x4841); // golden tee IRQ? wait hack
// clear params after operation
diff --git a/src/devices/machine/generalplus_gpl16250soc_video.cpp b/src/devices/machine/generalplus_gpl16250soc_video.cpp
index b2534e6b3d3..37821e3a10c 100644
--- a/src/devices/machine/generalplus_gpl16250soc_video.cpp
+++ b/src/devices/machine/generalplus_gpl16250soc_video.cpp
@@ -373,7 +373,7 @@ uint32_t gcm394_base_video_device::screen_update(screen_device &screen, bitmap_r
}
//const uint16_t bgcol = 0x7c1f; // magenta
-// const uint16_t bgcol = 0x0000; // black
+// const uint16_t bgcol = 0x0000; // black
bool highres;
if (m_707f & 0x0010)
{
@@ -390,7 +390,7 @@ uint32_t gcm394_base_video_device::screen_update(screen_device &screen, bitmap_r
const uint32_t sprites_addr = (m_sprite_702d_gfxbase_msb << 16) | m_sprite_7022_gfxbase_lsb;
-
+
for (uint32_t scanline = (uint32_t)cliprect.min_y; scanline <= (uint32_t)cliprect.max_y; scanline++)
{
m_renderer->new_line(cliprect);
@@ -1054,7 +1054,7 @@ void gcm394_base_video_device::palette_w(offs_t offset, uint16_t data)
{
offset |= (m_703a_palettebank & 0x000c) << 6;
m_paletteram[offset] = data;
-
+
// for debug
m_palette->set_pen_color(offset, rgb_t(
(((data >> 10) & 0x1f)<<3),
diff --git a/src/devices/machine/i80130.cpp b/src/devices/machine/i80130.cpp
index 94d03c236e2..b9b632b93e1 100644
--- a/src/devices/machine/i80130.cpp
+++ b/src/devices/machine/i80130.cpp
@@ -81,8 +81,8 @@ void i80130_device::io_w(offs_t offset, uint16_t data, uint16_t mem_mask)
//-------------------------------------------------
//ROM_START( i80130 )
-// ROM_REGION16_LE( 0x4000, "rom", 0 )
-// ROM_LOAD( "80130", 0x0000, 0x4000, NO_DUMP )
+// ROM_REGION16_LE( 0x4000, "rom", 0 )
+// ROM_LOAD( "80130", 0x0000, 0x4000, NO_DUMP )
//ROM_END
@@ -92,7 +92,7 @@ void i80130_device::io_w(offs_t offset, uint16_t data, uint16_t mem_mask)
//const tiny_rom_entry *i80130_device::device_rom_region() const
//{
-// return ROM_NAME( i80130 );
+// return ROM_NAME( i80130 );
//}
diff --git a/src/devices/machine/iwm.cpp b/src/devices/machine/iwm.cpp
index 1fa5f381f5f..3d1ff6f9b21 100644
--- a/src/devices/machine/iwm.cpp
+++ b/src/devices/machine/iwm.cpp
@@ -367,7 +367,7 @@ void iwm_device::sync()
break;
}
m_rsh = (m_rsh << 1) | (m_rw_state == SR_WINDOW_EDGE_1 ? 1 : 0);
- m_next_state_change = m_last_sync = endw;
+ m_next_state_change = m_last_sync = endw;
m_rw_state = SR_WINDOW_EDGE_0;
if(is_sync()) {
if(m_rsh >= 0x80) {
diff --git a/src/devices/machine/netlist.cpp b/src/devices/machine/netlist.cpp
index 9b4c3f48c57..38cda91fdfa 100644
--- a/src/devices/machine/netlist.cpp
+++ b/src/devices/machine/netlist.cpp
@@ -34,7 +34,7 @@
#define LOG_DEBUG (1U << 2)
//#define LOG_MASK (LOG_GENERAL | LOG_DEV_CALLS | LOG_DEBUG)
-#define LOG_MASK (0)
+#define LOG_MASK (0)
#define LOGDEVCALLS(...) LOGMASKED(LOG_DEV_CALLS, __VA_ARGS__)
#define LOGDEBUG(...) LOGMASKED(LOG_DEBUG, __VA_ARGS__)
@@ -870,7 +870,7 @@ void netlist_mame_stream_output_device::process(netlist::netlist_time_ext tim, n
int pos = (tim - m_last_buffer_time) / m_sample_time;
//if (pos > m_bufsize)
- // throw emu_fatalerror("sound %s: pos %d exceeded bufsize %d\n", name().c_str(), pos, m_bufsize);
+ // throw emu_fatalerror("sound %s: pos %d exceeded bufsize %d\n", name().c_str(), pos, m_bufsize);
while (m_buffer.size() < pos )
{
m_buffer.push_back(static_cast<stream_sample_t>(m_cur));
@@ -1375,7 +1375,7 @@ void netlist_mame_sound_device::device_start()
void netlist_mame_sound_device::nl_register_devices(netlist::nlparse_t &parser) const
{
//parser.factory().add<nld_sound_out>("NETDEV_SOUND_OUT",
- // netlist::factory::properties("+CHAN", PSOURCELOC()));
+ // netlist::factory::properties("+CHAN", PSOURCELOC()));
parser.factory().add<nld_sound_in>("NETDEV_SOUND_IN",
netlist::factory::properties("-", PSOURCELOC()));
}
diff --git a/src/devices/machine/s3c24xx.hxx b/src/devices/machine/s3c24xx.hxx
index 85f391c0007..dcff99a7b70 100644
--- a/src/devices/machine/s3c24xx.hxx
+++ b/src/devices/machine/s3c24xx.hxx
@@ -3086,7 +3086,7 @@ void S3C24_CLASS_NAME::s3c24xx_nand_w(offs_t offset, uint32_t data, uint32_t mem
}
}
-ATTR_UNUSED WRITE_LINE_MEMBER( S3C24_CLASS_NAME::s3c24xx_pin_frnb_w )
+ATTR_UNUSED WRITE_LINE_MEMBER( S3C24_CLASS_NAME::s3c24xx_pin_frnb_w )
{
LOGMASKED(LOG_FLASH, "s3c24xx_pin_frnb_w (%d)\n", state);
#if defined(DEVICE_S3C2440)
diff --git a/src/devices/machine/scc68070.cpp b/src/devices/machine/scc68070.cpp
index f9489edbf57..84debddc48d 100644
--- a/src/devices/machine/scc68070.cpp
+++ b/src/devices/machine/scc68070.cpp
@@ -32,7 +32,7 @@ TODO:
#define LOG_MMU (1 << 5)
#define LOG_IRQS (1 << 6)
#define LOG_UNKNOWN (1 << 7)
-#define LOG_MORE_UART (1 << 8)
+#define LOG_MORE_UART (1 << 8)
#define LOG_ALL (LOG_I2C | LOG_UART | LOG_TIMERS | LOG_DMA | LOG_MMU | LOG_IRQS | LOG_UNKNOWN)
#define VERBOSE (0)
diff --git a/src/devices/machine/spg2xx_io.cpp b/src/devices/machine/spg2xx_io.cpp
index 0ae2ac69c9b..9a54de55257 100644
--- a/src/devices/machine/spg2xx_io.cpp
+++ b/src/devices/machine/spg2xx_io.cpp
@@ -27,7 +27,7 @@ DEFINE_DEVICE_TYPE(SPG28X_IO, spg28x_io_device, "spg28x_io", "SPG280-series Syst
#define LOG_EXT_MEM (1U << 27)
#define LOG_EXTINT (1U << 28)
#define LOG_SPI (1U << 29)
-#define LOG_ADC (1U << 30)
+#define LOG_ADC (1U << 30)
#define LOG_IO (LOG_IO_READS | LOG_IO_WRITES | LOG_IRQS | LOG_GPIO | LOG_UART | LOG_I2C | LOG_TIMERS | LOG_EXTINT | LOG_UNKNOWN_IO | LOG_SPI | LOG_ADC)
#define LOG_ALL (LOG_IO | LOG_VLINES | LOG_SEGMENT | LOG_WATCHDOG | LOG_FIQ | LOG_SIO | LOG_EXT_MEM | LOG_ADC)
@@ -1655,7 +1655,7 @@ void spg2xx_io_device::check_irqs(const uint16_t changed)
if (changed & 0x0c00) // Timer A, Timer B IRQ
{
LOGMASKED(LOG_TIMERS, "%ssserting IRQ2 (%04x, %04x)\n", (IO_IRQ_ENABLE & IO_IRQ_STATUS & 0x0c00) ? "A" : "Dea", (IO_IRQ_ENABLE & IO_IRQ_STATUS & 0x0c00), changed);
-
+
if (m_timer_irq_cb)
m_timer_irq_cb((IO_IRQ_ENABLE & IO_IRQ_STATUS & 0x0c00) ? ASSERT_LINE : CLEAR_LINE);
else
@@ -1693,7 +1693,7 @@ void spg2xx_io_device::check_irqs(const uint16_t changed)
if (changed & 0x008b) // TMB1, TMB2, 4Hz, key change IRQ
{
LOGMASKED(LOG_IRQS, "%ssserting IRQ7 (%04x, %04x)\n", (IO_IRQ_ENABLE & IO_IRQ_STATUS & 0x008b) ? "A" : "Dea", (IO_IRQ_ENABLE & IO_IRQ_STATUS & 0x008b), changed);
- if (m_ffreq_tmr2_irq_cb)
+ if (m_ffreq_tmr2_irq_cb)
m_ffreq_tmr2_irq_cb((IO_IRQ_ENABLE & IO_IRQ_STATUS & 0x008b) ? ASSERT_LINE : CLEAR_LINE);
else
logerror("spg2xx_io_device::check_irqs, attempted to use m_ffreq_tmr2_irq_cb without setting it\n");
diff --git a/src/devices/machine/spg2xx_video.cpp b/src/devices/machine/spg2xx_video.cpp
index fa14b729384..6162b3a3dee 100644
--- a/src/devices/machine/spg2xx_video.cpp
+++ b/src/devices/machine/spg2xx_video.cpp
@@ -104,7 +104,7 @@ uint32_t spg2xx_video_device::screen_update(screen_device &screen, bitmap_rgb32
const uint32_t page1_addr = 0x40 * m_video_regs[0x20];
const uint32_t page2_addr = 0x40 * m_video_regs[0x21];
const uint32_t sprite_addr = 0x40 * m_video_regs[0x22];
-
+
uint16_t *page1_scroll = m_video_regs + 0x10;
uint16_t *page2_scroll = m_video_regs + 0x16;
uint16_t *page1_regs = m_video_regs + 0x12;
diff --git a/src/devices/machine/spg_renderer.cpp b/src/devices/machine/spg_renderer.cpp
index 7e9b9e6380e..0b6efe58c3f 100644
--- a/src/devices/machine/spg_renderer.cpp
+++ b/src/devices/machine/spg_renderer.cpp
@@ -60,7 +60,7 @@ void spg_renderer_device::device_reset()
m_video_regs_1e = 0x0000;
m_video_regs_2a = 0x0000;
-
+
m_video_regs_30 = 0x0000;
m_video_regs_3c = 0x0020;
@@ -140,8 +140,8 @@ void spg_renderer_device::draw_tilestrip(bool read_from_csspace, uint32_t screen
{
m_linebuf[realdrawpos] = (mix_channel((uint8_t)(m_linebuf[realdrawpos] >> 10) & 0x1f, (rgb >> 10) & 0x1f, blendlevel) << 10) |
- (mix_channel((uint8_t)(m_linebuf[realdrawpos] >> 5) & 0x1f, (rgb >> 5) & 0x1f, blendlevel) << 5) |
- (mix_channel((uint8_t)(m_linebuf[realdrawpos] >> 0) & 0x1f, (rgb >> 0) & 0x1f, blendlevel) << 0);
+ (mix_channel((uint8_t)(m_linebuf[realdrawpos] >> 5) & 0x1f, (rgb >> 5) & 0x1f, blendlevel) << 5) |
+ (mix_channel((uint8_t)(m_linebuf[realdrawpos] >> 0) & 0x1f, (rgb >> 0) & 0x1f, blendlevel) << 0);
}
else
{
@@ -158,7 +158,7 @@ void spg_renderer_device::draw_linemap(bool has_extended_tilemaps, const rectang
if (has_extended_tilemaps)
{
uint32_t ctrl = tilemapregs[1];
-
+
if (0)
{
if (ctrl & 0x0010)
@@ -350,7 +350,7 @@ void spg_renderer_device::update_vcmp_table()
{
m_ycmp_table[i] = currentline;
}
-
+
counter += current_inc_value;
while (counter >= (0x20<<4))
@@ -417,7 +417,7 @@ void spg_renderer_device::draw_page(bool read_from_csspace, bool has_extended_ti
{
// used by senspeed
//if (m_video_regs_1e != 0x0000)
- // popmessage("vertical compression mode with non-0 step amount %04x offset %04x step %04x\n", m_video_regs_1c, m_video_regs_1d, m_video_regs_1e);
+ // popmessage("vertical compression mode with non-0 step amount %04x offset %04x step %04x\n", m_video_regs_1c, m_video_regs_1d, m_video_regs_1e);
logical_scanline = m_ycmp_table[scanline];
if (logical_scanline == 0xffffffff)
@@ -428,7 +428,7 @@ void spg_renderer_device::draw_page(bool read_from_csspace, bool has_extended_ti
uint32_t y_mask;
uint32_t screenwidth;
-
+
if (read_from_csspace && (attr & 0x8000)) // is this only available in high res mode, or always?
{
// just a guess based on this being set on the higher resolution tilemaps we've seen, could be 100% incorrect register
@@ -617,7 +617,7 @@ void spg_renderer_device::draw_sprite(bool read_from_csspace, bool has_extended_
uint32_t screenwidth = 320;
-// uint32_t screenheight = 240;
+// uint32_t screenheight = 240;
uint32_t screenheight = 256;
uint32_t xmask = 0x1ff;
uint32_t ymask = 0x1ff;
@@ -625,7 +625,7 @@ void spg_renderer_device::draw_sprite(bool read_from_csspace, bool has_extended_
if (highres)
{
screenwidth = 640;
-// screenheight = 480;
+// screenheight = 480;
screenheight = 512;
xmask = 0x3ff;
ymask = 0x3ff;
@@ -637,7 +637,7 @@ void spg_renderer_device::draw_sprite(bool read_from_csspace, bool has_extended_
if (!(m_video_regs_42 & 0x0002))
{
x = ((screenwidth/2) + x) - tile_w / 2;
-// y = ((screenheight/2) - y) - (tile_h / 2) + 8;
+// y = ((screenheight/2) - y) - (tile_h / 2) + 8;
y = ((screenheight/2) - y) - (tile_h / 2);
}
@@ -656,7 +656,7 @@ void spg_renderer_device::draw_sprite(bool read_from_csspace, bool has_extended_
uint8_t blendlevel = (m_video_regs_2a & 3) << 3;
uint32_t words_per_tile;
-
+
// good for gormiti, smartfp, wrlshunt, paccon, jak_totm, jak_s500, jak_gtg
if (has_extended_sprites && ((m_video_regs_42 & 0x0010) == 0x10))
{
@@ -682,7 +682,7 @@ void spg_renderer_device::draw_sprite(bool read_from_csspace, bool has_extended_
bool flip_y = (attr & 0x0008);
-
+
// various games don't want the flip bits in the usual place, wrlshunt for example, there's probably a bit to control this
// and likewise these bits probably now have a different meaning, so this shouldn't be trusted
if (has_extended_sprites)
@@ -693,9 +693,9 @@ void spg_renderer_device::draw_sprite(bool read_from_csspace, bool has_extended_
flip_y = 0;
}
}
-
+
uint32_t palette_offset = (attr & 0x0f00) >> 4;
-
+
if (has_extended_sprites)
{
// guess, tkmag220 / myac220 don't set this bit and expect all sprite palettes to be from the same bank as background palettes
diff --git a/src/devices/machine/spg_renderer.h b/src/devices/machine/spg_renderer.h
index f88991a4b50..320df0f61d1 100644
--- a/src/devices/machine/spg_renderer.h
+++ b/src/devices/machine/spg_renderer.h
@@ -78,9 +78,9 @@ protected:
inline void draw_tilestrip(bool read_from_csspace, uint32_t screenwidth, uint32_t drawwidthmask, spg_renderer_device::blend_enable_t blend, spg_renderer_device::flipx_t flip_x, const rectangle& cliprect, uint32_t tile_h, uint32_t tile_w, uint32_t tilegfxdata_addr, uint32_t tile, uint32_t tile_scanline, int drawx, bool flip_y, uint32_t palette_offset, const uint32_t nc_bpp, const uint32_t bits_per_row, const uint32_t words_per_tile, address_space& spc, uint16_t* paletteram, uint8_t blendlevel);
inline void draw_sprite(bool read_from_csspace, bool has_extended_sprites, bool alt_extrasprite_hack, uint32_t palbank, bool highres, const rectangle& cliprect, uint32_t scanline, int priority, uint32_t spritegfxdata_addr, uint32_t base_addr, address_space& spc, uint16_t* paletteram, uint16_t* spriteram);
-
+
inline void draw_linemap(bool has_extended_tilemaps, const rectangle& cliprect, uint32_t scanline, int priority, uint32_t tilegfxdata_addr, uint16_t* scrollregs, uint16_t* tilemapregs, address_space& spc, uint16_t* paletteram);
-
+
inline uint8_t mix_channel(uint8_t a, uint8_t b, uint8_t alpha);
uint8_t m_rgb5_to_rgb8[32];
diff --git a/src/devices/machine/sun4c_mmu.cpp b/src/devices/machine/sun4c_mmu.cpp
index 7cc673cb9d1..fce37a92824 100644
--- a/src/devices/machine/sun4c_mmu.cpp
+++ b/src/devices/machine/sun4c_mmu.cpp
@@ -33,15 +33,15 @@ DEFINE_DEVICE_TYPE(SUN4C_MMU, sun4c_mmu_device, "sun4c_mmu", "Sun 4c MMU")
#define LOG_TYPE1_TIMEOUT (1U << 12)
#define LOG_UNKNOWN_SPACE (1U << 13)
#define LOG_WRITE_PROTECT (1U << 14)
-#define LOG_READ_PROTECT (1U << 15)
+#define LOG_READ_PROTECT (1U << 15)
#define LOG_PARITY (1U << 16)
#define LOG_ALL_ASI (1U << 17) // WARNING: Heavy!
-#define LOG_UNKNOWN_ASI (1U << 18)
-#define LOG_SEGMENT_FLUSH (1U << 19)
-#define LOG_PAGE_FLUSH (1U << 20)
-#define LOG_CONTEXT_FLUSH (1U << 21)
-#define LOG_CACHE_FILLS (1U << 22)
-#define LOG_PAGE_ENTRIES (1U << 23)
+#define LOG_UNKNOWN_ASI (1U << 18)
+#define LOG_SEGMENT_FLUSH (1U << 19)
+#define LOG_PAGE_FLUSH (1U << 20)
+#define LOG_CONTEXT_FLUSH (1U << 21)
+#define LOG_CACHE_FILLS (1U << 22)
+#define LOG_PAGE_ENTRIES (1U << 23)
#if SUN4CMMU_LOG_MEM_ACCESSES
static FILE* s_mem_log = nullptr;
diff --git a/src/devices/machine/sun4c_mmu.h b/src/devices/machine/sun4c_mmu.h
index 7ab00c8798a..d9fa7a80783 100644
--- a/src/devices/machine/sun4c_mmu.h
+++ b/src/devices/machine/sun4c_mmu.h
@@ -15,7 +15,7 @@
#include "machine/ram.h"
#include "machine/z80scc.h"
-#define SUN4CMMU_LOG_MEM_ACCESSES (0)
+#define SUN4CMMU_LOG_MEM_ACCESSES (0)
class sun4_mmu_base_device : public device_t, public sparc_mmu_interface
{
diff --git a/src/devices/machine/swim1.cpp b/src/devices/machine/swim1.cpp
index 1af6cb60301..d313d777b42 100644
--- a/src/devices/machine/swim1.cpp
+++ b/src/devices/machine/swim1.cpp
@@ -33,7 +33,7 @@ void swim1_device::device_reset()
m_param_idx = 0;
memset(m_param, 0, sizeof(m_param));
m_floppy = nullptr;
-
+
m_devsel_cb(0);
m_sel35_cb(true);
m_hdsel_cb(false);
@@ -86,7 +86,7 @@ u8 swim1_device::read(offs_t offset)
u8 r = m_param[m_param_idx];
m_param_idx = (m_param_idx + 1) & 15;
return r;
- }
+ }
case 0x4: case 0xc:
return m_phases;
case 0x5: case 0xd:
@@ -122,7 +122,7 @@ void swim1_device::write(offs_t offset, u8 data)
m_param[m_param_idx] = data;
m_param_idx = (m_param_idx + 1) & 3;
break;
- }
+ }
case 0x4: {
m_phases = data;
update_phases();
diff --git a/src/devices/machine/swim2.cpp b/src/devices/machine/swim2.cpp
index 165345d1523..79e6bc4f8fa 100644
--- a/src/devices/machine/swim2.cpp
+++ b/src/devices/machine/swim2.cpp
@@ -35,7 +35,7 @@ void swim2_device::device_reset()
m_param_idx = 0;
memset(m_param, 0, sizeof(m_param));
m_floppy = nullptr;
-
+
m_devsel_cb(0);
m_sel35_cb(true);
m_hdsel_cb(false);
@@ -88,7 +88,7 @@ u8 swim2_device::read(offs_t offset)
u8 r = m_param[m_param_idx];
m_param_idx = (m_param_idx + 1) & 15;
return r;
- }
+ }
case 0x4: case 0xc:
return m_phases;
case 0x5: case 0xd:
@@ -124,7 +124,7 @@ void swim2_device::write(offs_t offset, u8 data)
m_param[m_param_idx] = data;
m_param_idx = (m_param_idx + 1) & 3;
break;
- }
+ }
case 0x4: {
m_phases = data;
update_phases();
diff --git a/src/devices/machine/swim3.cpp b/src/devices/machine/swim3.cpp
index 9c836a0dc74..e194deb2f17 100644
--- a/src/devices/machine/swim3.cpp
+++ b/src/devices/machine/swim3.cpp
@@ -33,7 +33,7 @@ void swim3_device::device_reset()
m_param_idx = 0;
memset(m_param, 0, sizeof(m_param));
m_floppy = nullptr;
-
+
m_devsel_cb(0);
m_sel35_cb(true);
m_hdsel_cb(false);
@@ -86,7 +86,7 @@ u8 swim3_device::read(offs_t offset)
u8 r = m_param[m_param_idx];
m_param_idx = (m_param_idx + 1) & 15;
return r;
- }
+ }
case 0x4: case 0xc:
return m_phases;
case 0x5: case 0xd:
@@ -122,7 +122,7 @@ void swim3_device::write(offs_t offset, u8 data)
m_param[m_param_idx] = data;
m_param_idx = (m_param_idx + 1) & 3;
break;
- }
+ }
case 0x4: {
m_phases = data;
update_phases();
diff --git a/src/devices/sound/c140.cpp b/src/devices/sound/c140.cpp
index 37573b2b530..f4986fd35ca 100644
--- a/src/devices/sound/c140.cpp
+++ b/src/devices/sound/c140.cpp
@@ -419,7 +419,7 @@ void c219_device::sound_stream_update(sound_stream &stream, stream_sample_t **in
if (ch_noise(v)) // noise
{
- m_lfsr = (m_lfsr >> 1) ^ ((-(m_lfsr & 1)) & 0xfff6);
+ m_lfsr = (m_lfsr >> 1) ^ ((-(m_lfsr & 1)) & 0xfff6);
lastdt = s16(m_lfsr);
}
else
diff --git a/src/devices/sound/ics2115.cpp b/src/devices/sound/ics2115.cpp
index b754f18c716..2545d3f2f71 100644
--- a/src/devices/sound/ics2115.cpp
+++ b/src/devices/sound/ics2115.cpp
@@ -1,19 +1,19 @@
// license:BSD-3-Clause
// copyright-holders:Alex Marshall,nimitz,austere
/*
- ICS2115 by Raiden II team (c) 2010
- members: austere, nimitz, Alex Marshal
+ ICS2115 by Raiden II team (c) 2010
+ members: austere, nimitz, Alex Marshal
- Original driver by O. Galibert, ElSemi
+ Original driver by O. Galibert, ElSemi
- Use tab size = 4 for your viewing pleasure.
+ Use tab size = 4 for your viewing pleasure.
- TODO:
- - Implement Panning, Chip has support stereo
- - Verify BYTE/ROMEN pin behaviors
- - DRAM, DMA, MIDI interface is unimplemented
- - Verify interrupt, envelope, timer period
- - Verify unemulated registers
+ TODO:
+ - Implement Panning, Chip has support stereo
+ - Verify BYTE/ROMEN pin behaviors
+ - DRAM, DMA, MIDI interface is unimplemented
+ - Verify interrupt, envelope, timer period
+ - Verify unemulated registers
*/
#include "emu.h"
diff --git a/src/devices/sound/ics2115.h b/src/devices/sound/ics2115.h
index 8703c258344..c124efa0508 100644
--- a/src/devices/sound/ics2115.h
+++ b/src/devices/sound/ics2115.h
@@ -150,8 +150,8 @@ private:
bool m_irq_on;
/*
- Unknown variable, seems to be effected by 0x12. Further investigation
- Required.
+ Unknown variable, seems to be effected by 0x12. Further investigation
+ Required.
*/
u8 m_vmode;
};
diff --git a/src/devices/sound/k053260.cpp b/src/devices/sound/k053260.cpp
index 116b1aec9b1..859a1fd30e9 100644
--- a/src/devices/sound/k053260.cpp
+++ b/src/devices/sound/k053260.cpp
@@ -65,21 +65,21 @@ static constexpr int CLOCKS_PER_SAMPLE = 64;
// device type definition
DEFINE_DEVICE_TYPE(K053260, k053260_device, "k053260", "K053260 KDSC")
- ;
+ ;
// Pan multipliers. Set according to integer angles in degrees, amusingly.
// Exact precision hard to know, the floating point-ish output format makes
// comparisons iffy. So we used a 1.16 format.
const int k053260_device::pan_mul[8][2] = {
- { 0, 0 }, // No sound for pan 0
- { 65536, 0 }, // 0 degrees
- { 59870, 26656 }, // 24 degrees
- { 53684, 37950 }, // 35 degrees
- { 46341, 46341 }, // 45 degrees
- { 37950, 53684 }, // 55 degrees
- { 26656, 59870 }, // 66 degrees
- { 0, 65536 } // 90 degrees
+ { 0, 0 }, // No sound for pan 0
+ { 65536, 0 }, // 0 degrees
+ { 59870, 26656 }, // 24 degrees
+ { 53684, 37950 }, // 35 degrees
+ { 46341, 46341 }, // 45 degrees
+ { 37950, 53684 }, // 55 degrees
+ { 26656, 59870 }, // 66 degrees
+ { 0, 65536 } // 90 degrees
};
diff --git a/src/emu/debug/debugcon.cpp b/src/emu/debug/debugcon.cpp
index 88d1906c7f3..b547b1b536c 100644
--- a/src/emu/debug/debugcon.cpp
+++ b/src/emu/debug/debugcon.cpp
@@ -141,7 +141,7 @@ void debugger_console::execute_help_custom(int ref, const std::vector<std::strin
}
/*------------------------------------------------------------
- execute_condump - execute the condump command
+ execute_condump - execute the condump command
------------------------------------------------------------*/
void debugger_console::execute_condump(int ref, const std::vector<std::string>& params)
@@ -584,8 +584,8 @@ std::string debugger_console::cmderr_to_string(CMDERR error)
/*-------------------------------------------------
- print_core - write preformatted text
- to the debug console
+ print_core - write preformatted text
+ to the debug console
-------------------------------------------------*/
void debugger_console::print_core(const char *text)
@@ -597,8 +597,8 @@ void debugger_console::print_core(const char *text)
}
/*-------------------------------------------------
- print_core_wrap - write preformatted text
- to the debug console, with wrapping
+ print_core_wrap - write preformatted text
+ to the debug console, with wrapping
-------------------------------------------------*/
void debugger_console::print_core_wrap(const char *text, int wrapcol)
diff --git a/src/emu/debug/textbuf.cpp b/src/emu/debug/textbuf.cpp
index bac5e45f604..76a4e99322e 100644
--- a/src/emu/debug/textbuf.cpp
+++ b/src/emu/debug/textbuf.cpp
@@ -318,8 +318,8 @@ text_buffer_lines text_buffer_get_lines(text_buffer* text)
}
/*---------------------------------------------------------------------
- text_buffer_lines::text_buffer_line_iterator::operator*
- Gets the line that the iterator currently points to.
+ text_buffer_lines::text_buffer_line_iterator::operator*
+ Gets the line that the iterator currently points to.
-----------------------------------------------------------------------*/
text_buffer_line text_buffer_lines::text_buffer_line_iterator::operator*() const
@@ -331,7 +331,7 @@ text_buffer_line text_buffer_lines::text_buffer_line_iterator::operator*() const
next_lineptr = 0;
const char* nextline = &m_buffer.buffer[m_buffer.lineoffs[next_lineptr]];
-
+
/* -1 for the '\0' at the end of line */
ptrdiff_t difference = (nextline - line) - 1;
@@ -343,8 +343,8 @@ text_buffer_line text_buffer_lines::text_buffer_line_iterator::operator*() const
}
/*---------------------------------------------------------------------
- text_buffer_lines::text_buffer_line_iterator::operator++
- Moves to the next line.
+ text_buffer_lines::text_buffer_line_iterator::operator++
+ Moves to the next line.
-----------------------------------------------------------------------*/
text_buffer_lines::text_buffer_line_iterator& text_buffer_lines::text_buffer_line_iterator::operator++()
@@ -356,8 +356,8 @@ text_buffer_lines::text_buffer_line_iterator& text_buffer_lines::text_buffer_lin
}
/*------------------------------------------------------
- text_buffer_lines::begin()
- Returns an iterator that points to the first line.
+ text_buffer_lines::begin()
+ Returns an iterator that points to the first line.
--------------------------------------------------------*/
text_buffer_lines::iterator text_buffer_lines::begin() const
@@ -366,8 +366,8 @@ text_buffer_lines::iterator text_buffer_lines::begin() const
}
/*-----------------------------------------------------------
- text_buffer_lines::begin()
- Returns an iterator that points just past the last line.
+ text_buffer_lines::begin()
+ Returns an iterator that points just past the last line.
-------------------------------------------------------------*/
text_buffer_lines::iterator text_buffer_lines::end() const
diff --git a/src/emu/debug/textbuf.h b/src/emu/debug/textbuf.h
index d678dd7779a..b5c941fe6da 100644
--- a/src/emu/debug/textbuf.h
+++ b/src/emu/debug/textbuf.h
@@ -21,49 +21,49 @@ struct text_buffer;
struct text_buffer_line
{
- const char *text;
- size_t length;
+ const char *text;
+ size_t length;
};
/* helper class that makes it possible to iterate over the lines of a text_buffer */
class text_buffer_lines
{
private:
- text_buffer& m_buffer;
+ text_buffer& m_buffer;
public:
- text_buffer_lines(text_buffer& buffer) : m_buffer(buffer) { }
-
- class text_buffer_line_iterator
- {
- text_buffer& m_buffer;
- s32 m_lineptr;
- public:
- text_buffer_line_iterator(text_buffer& buffer, s32 lineptr) :
- m_buffer(buffer),
- m_lineptr(lineptr)
- {
- }
-
- /* technically this isn't a valid forward iterator, because
- * operator * doesn't return a reference
- */
- text_buffer_line operator *() const;
- text_buffer_line_iterator& operator ++();
-
- bool operator != (const text_buffer_line_iterator& rhs)
- {
- return m_lineptr != rhs.m_lineptr;
- }
- /* according to C++ spec, only != is needed; == is present for completeness. */
- bool operator == (const text_buffer_line_iterator& rhs) { return !(operator !=(rhs)); }
- };
-
- typedef text_buffer_line_iterator iterator;
- typedef text_buffer_line_iterator const iterator_const;
-
- iterator begin() const;
- iterator end() const;
+ text_buffer_lines(text_buffer& buffer) : m_buffer(buffer) { }
+
+ class text_buffer_line_iterator
+ {
+ text_buffer& m_buffer;
+ s32 m_lineptr;
+ public:
+ text_buffer_line_iterator(text_buffer& buffer, s32 lineptr) :
+ m_buffer(buffer),
+ m_lineptr(lineptr)
+ {
+ }
+
+ /* technically this isn't a valid forward iterator, because
+ * operator * doesn't return a reference
+ */
+ text_buffer_line operator *() const;
+ text_buffer_line_iterator& operator ++();
+
+ bool operator != (const text_buffer_line_iterator& rhs)
+ {
+ return m_lineptr != rhs.m_lineptr;
+ }
+ /* according to C++ spec, only != is needed; == is present for completeness. */
+ bool operator == (const text_buffer_line_iterator& rhs) { return !(operator !=(rhs)); }
+ };
+
+ typedef text_buffer_line_iterator iterator;
+ typedef text_buffer_line_iterator const iterator_const;
+
+ iterator begin() const;
+ iterator end() const;
};
/***************************************************************************
diff --git a/src/emu/dirom.ipp b/src/emu/dirom.ipp
index 42447932df9..e552ff87e22 100644
--- a/src/emu/dirom.ipp
+++ b/src/emu/dirom.ipp
@@ -15,8 +15,8 @@ template<int AddrWidth, int DataWidth, int AddrShift, endianness_t Endian>
void device_rom_interface<AddrWidth, DataWidth, AddrShift, Endian>::override_address_width(u8 width)
{
// cach does not need level match, only specific does at this point
- // if(emu::detail::handler_entry_dispatch_level(AddrWidth) != emu::detail::handler_entry_dispatch_level(width))
- // emu_fatalerror("%s: Widths %d and %d are incompatible", device().tag(), width, AddrWidth);
+ // if(emu::detail::handler_entry_dispatch_level(AddrWidth) != emu::detail::handler_entry_dispatch_level(width))
+ // emu_fatalerror("%s: Widths %d and %d are incompatible", device().tag(), width, AddrWidth);
m_rom_config.m_addr_width = width;
}
diff --git a/src/emu/emumem.h b/src/emu/emumem.h
index 87e5af0d977..1adfb0332eb 100644
--- a/src/emu/emumem.h
+++ b/src/emu/emumem.h
@@ -1941,7 +1941,7 @@ private:
template<int Width, int AddrShift, endianness_t Endian>
-typename emu::detail::handler_entry_size<Width>::uX
+typename emu::detail::handler_entry_size<Width>::uX
emu::detail::memory_access_cache<Width, AddrShift, Endian>::
read_native(offs_t address, typename emu::detail::handler_entry_size<Width>::uX mask)
{
diff --git a/src/emu/emumem_heun.cpp b/src/emu/emumem_heun.cpp
index ff1899d686e..c875a829f9a 100644
--- a/src/emu/emumem_heun.cpp
+++ b/src/emu/emumem_heun.cpp
@@ -23,7 +23,7 @@ template<int Width, int AddrShift, endianness_t Endian> std::string handler_entr
}
-template<int Width, int AddrShift, endianness_t Endian> void handler_entry_write_unmapped<Width, AddrShift, Endian>::write(offs_t offset, uX data, uX mem_mask)const
+template<int Width, int AddrShift, endianness_t Endian> void handler_entry_write_unmapped<Width, AddrShift, Endian>::write(offs_t offset, uX data, uX mem_mask)const
{
if (inh::m_space->log_unmap() && !inh::m_space->m_manager.machine().side_effects_disabled())
inh::m_space->device().logerror(inh::m_space->is_octal()
diff --git a/src/emu/ioport.cpp b/src/emu/ioport.cpp
index b929d51d406..281563935c3 100644
--- a/src/emu/ioport.cpp
+++ b/src/emu/ioport.cpp
@@ -3520,14 +3520,14 @@ void analog_field::frame_update(running_machine &machine)
// get the new raw analog value and its type
input_item_class itemclass;
s32 rawvalue = machine.input().seq_axis_value(m_field.seq(SEQ_TYPE_STANDARD), itemclass);
-
+
// use programmatically set value if avaiable
if (m_was_written)
{
m_was_written = false;
rawvalue = m_prog_analog_value;
}
-
+
// if we got an absolute input, it overrides everything else
if (itemclass == ITEM_CLASS_ABSOLUTE)
{
diff --git a/src/emu/ioport.h b/src/emu/ioport.h
index aa0a04bfca8..f8b1e1baf3a 100644
--- a/src/emu/ioport.h
+++ b/src/emu/ioport.h
@@ -459,7 +459,7 @@ enum
// INPUT_STRING_8C_4C, // 0.500000
// INPUT_STRING_6C_3C, // 0.500000
INPUT_STRING_4C_2C, // 0.500000
- INPUT_STRING_5C_2C, // 0.500000
+ INPUT_STRING_5C_2C, // 0.500000
INPUT_STRING_2C_1C, // 0.500000
// INPUT_STRING_9C_5C, // 0.555556
// INPUT_STRING_7C_4C, // 0.571429
@@ -1266,10 +1266,10 @@ public:
void read(ioport_value &value);
float crosshair_read();
void frame_update(running_machine &machine);
-
+
// setters
void set_value(s32 value);
-
+
private:
// helpers
s32 apply_min_max(s32 value) const;
diff --git a/src/emu/natkeyboard.cpp b/src/emu/natkeyboard.cpp
index ce7391c67cd..f264754b3a4 100644
--- a/src/emu/natkeyboard.cpp
+++ b/src/emu/natkeyboard.cpp
@@ -658,7 +658,7 @@ void natural_keyboard::build_codes(ioport_manager &manager)
}
newcode.field[fieldnum] = &field;
- if (m_keycode_map.end() == found)
+ if (m_keycode_map.end() == found)
{
keycode_map_list map_list;
map_list.emplace_back(newcode);
@@ -882,7 +882,7 @@ const natural_keyboard::keycode_map_entry *natural_keyboard::find_code(char32_t
keycode_map::const_iterator const found(m_keycode_map.find(ch));
if (m_keycode_map.end() == found) return nullptr;
for(const keycode_map_entry &entry : found->second)
- {
+ {
if (entry.condition.eval())
return &entry;
}
@@ -912,7 +912,7 @@ void natural_keyboard::dump(std::ostream &str) const
{
// identify the keys used
for (std::size_t field = 0; (entry.field.size() > field) && entry.field[field]; ++field)
- util::stream_format(str, "%s'%s'", first ? "" : ", ", entry.field[field]->name());
+ util::stream_format(str, "%s'%s'", first ? "" : ", ", entry.field[field]->name());
// carriage return
str << '\n';
diff --git a/src/frontend/mame/luaengine.cpp b/src/frontend/mame/luaengine.cpp
index 3dcdd82bf88..d9bb8c5e58b 100644
--- a/src/frontend/mame/luaengine.cpp
+++ b/src/frontend/mame/luaengine.cpp
@@ -239,7 +239,7 @@ namespace
}
private:
- std::array<std::pair<const char *, T>, SIZE> m_map;
+ std::array<std::pair<const char *, T>, SIZE> m_map;
};
};
diff --git a/src/lib/formats/imd_dsk.cpp b/src/lib/formats/imd_dsk.cpp
index 030b99e14b7..4a42d1c80c8 100644
--- a/src/lib/formats/imd_dsk.cpp
+++ b/src/lib/formats/imd_dsk.cpp
@@ -508,7 +508,7 @@ bool imd_format::load(io_generic *io, uint32_t form_factor, floppy_image *image)
desc_pc_sector sects[256];
for(int i=0; i<m_sector_count.back(); i++) {
- uint8_t stype = img[pos++];
+ uint8_t stype = img[pos++];
sects[i].track = m_tnum.back().size() ? m_tnum.back()[i] : m_track.back();
sects[i].head = m_hnum.back().size() ? m_hnum.back()[i] : m_head.back();
sects[i].sector = m_snum.back()[i];
@@ -629,7 +629,7 @@ bool imd_format::save(io_generic* io, floppy_image* image)
mode = 1;
io_generic_write(io, &mode, pos++, 1);
io_generic_write(io, &sdata, pos, actual_size);
- pos += actual_size;
+ pos += actual_size;
}
}
}
diff --git a/src/lib/netlist/devices/nld_9316.h b/src/lib/netlist/devices/nld_9316.h
index eb5f5a8aca0..bb09cb342de 100644
--- a/src/lib/netlist/devices/nld_9316.h
+++ b/src/lib/netlist/devices/nld_9316.h
@@ -99,7 +99,7 @@
NET_CONNECT(name, B, cB) \
NET_CONNECT(name, C, cC) \
NET_CONNECT(name, D, cD)
-
+
#define TTL_74161(...) \
NET_REGISTER_DEVEXT(TTL_74161, __VA_ARGS__)
diff --git a/src/lib/netlist/devices/nlid_truthtable.cpp b/src/lib/netlist/devices/nlid_truthtable.cpp
index a1bcc26d035..348b37729b1 100644
--- a/src/lib/netlist/devices/nlid_truthtable.cpp
+++ b/src/lib/netlist/devices/nlid_truthtable.cpp
@@ -157,7 +157,7 @@ namespace devices
if (doOUT)
//for (std::size_t i = 0; i < m_NO; ++i)
- // m_Q[i].push((out >> i) & 1, tim[t[i]]);
+ // m_Q[i].push((out >> i) & 1, tim[t[i]]);
this->push(out, t);
else
{
diff --git a/src/lib/netlist/examples/nld_74393_test.c b/src/lib/netlist/examples/nld_74393_test.c
index d9d6a382ca4..771593ff797 100644
--- a/src/lib/netlist/examples/nld_74393_test.c
+++ b/src/lib/netlist/examples/nld_74393_test.c
@@ -13,8 +13,8 @@ NETLIST_START(perf)
ANALOG_INPUT(V5, 5)
- CLOCK(CLK, 100) // clock for driving the 74393
- CLOCK(CLK2, 3) // off-beat clock for master reset
+ CLOCK(CLK, 100) // clock for driving the 74393
+ CLOCK(CLK2, 3) // off-beat clock for master reset
TTL_74393_DIP(TESTCHIP)
NET_C(V5, CLK.VCC, CLK2.VCC, TESTCHIP.14)
diff --git a/src/lib/netlist/nl_base.h b/src/lib/netlist/nl_base.h
index 97e3f404a36..e3e764b6d90 100644
--- a/src/lib/netlist/nl_base.h
+++ b/src/lib/netlist/nl_base.h
@@ -2475,8 +2475,8 @@ namespace netlist
}
}
-
-
+
+
// -----------------------------------------------------------------------------
// inline implementations - cold
// -----------------------------------------------------------------------------
diff --git a/src/lib/netlist/nl_factory.h b/src/lib/netlist/nl_factory.h
index 5b3ee4bb3a6..74cb43f3d40 100644
--- a/src/lib/netlist/nl_factory.h
+++ b/src/lib/netlist/nl_factory.h
@@ -124,20 +124,20 @@ namespace factory {
{ }
- template <std::size_t... Is>
- dev_uptr make_device(device_arena &pool,
- netlist_state_t &anetlist,
- const pstring &name, std::tuple<Args...>& args, std::index_sequence<Is...>)
- {
- return plib::make_unique<C>(pool, anetlist, name, std::forward<Args>(std::get<Is>(args))...);
- }
-
- dev_uptr make_device(device_arena &pool,
- netlist_state_t &anetlist,
- const pstring &name, std::tuple<Args...>& args)
- {
- return make_device(pool, anetlist, name, args, std::index_sequence_for<Args...>{});
- }
+ template <std::size_t... Is>
+ dev_uptr make_device(device_arena &pool,
+ netlist_state_t &anetlist,
+ const pstring &name, std::tuple<Args...>& args, std::index_sequence<Is...>)
+ {
+ return plib::make_unique<C>(pool, anetlist, name, std::forward<Args>(std::get<Is>(args))...);
+ }
+
+ dev_uptr make_device(device_arena &pool,
+ netlist_state_t &anetlist,
+ const pstring &name, std::tuple<Args...>& args)
+ {
+ return make_device(pool, anetlist, name, args, std::index_sequence_for<Args...>{});
+ }
dev_uptr make_device(device_arena &pool,
netlist_state_t &anetlist,
diff --git a/src/lib/netlist/nl_interface.h b/src/lib/netlist/nl_interface.h
index 17d6496c4ff..d24f90be3d2 100644
--- a/src/lib/netlist/nl_interface.h
+++ b/src/lib/netlist/nl_interface.h
@@ -32,21 +32,21 @@ namespace netlist
/// The following code is an example on how to add the device to
/// the netlist factory.
///
- /// const pstring pin(m_in);
- /// pstring dname = pstring("OUT_") + pin;
+ /// const pstring pin(m_in);
+ /// pstring dname = pstring("OUT_") + pin;
///
- /// const auto lambda = [this](auto &in, netlist::nl_fptype val)
- /// {
- /// this->cpu()->update_icount(in.exec().time());
- /// this->m_delegate(val, this->cpu()->local_time());
- /// this->cpu()->check_mame_abort_slice();
- /// };
+ /// const auto lambda = [this](auto &in, netlist::nl_fptype val)
+ /// {
+ /// this->cpu()->update_icount(in.exec().time());
+ /// this->m_delegate(val, this->cpu()->local_time());
+ /// this->cpu()->check_mame_abort_slice();
+ /// };
///
- /// using lb_t = decltype(lambda);
- /// using cb_t = netlist::interface::NETLIB_NAME(analog_callback)<lb_t>;
+ /// using lb_t = decltype(lambda);
+ /// using cb_t = netlist::interface::NETLIB_NAME(analog_callback)<lb_t>;
///
- /// parser.factory().add<cb_t, netlist::nl_fptype, lb_t>(dname,
- /// netlist::factory::properties("-", PSOURCELOC()), 1e-6, std::forward<lb_t>(lambda));
+ /// parser.factory().add<cb_t, netlist::nl_fptype, lb_t>(dname,
+ /// netlist::factory::properties("-", PSOURCELOC()), 1e-6, std::forward<lb_t>(lambda));
///
template <typename FUNC>
@@ -232,7 +232,7 @@ namespace netlist
object_array_t<param_fp_t, MAX_INPUT_CHANNELS> m_param_mults;
object_array_t<param_fp_t, MAX_INPUT_CHANNELS> m_param_offsets;
std::array<param_fp_t *, MAX_INPUT_CHANNELS> m_params;
- std::array<T *, MAX_INPUT_CHANNELS> m_buffers;
+ std::array<T *, MAX_INPUT_CHANNELS> m_buffers;
};
} // namespace interface
diff --git a/src/lib/netlist/plib/pmempool.h b/src/lib/netlist/plib/pmempool.h
index ece0f117a8d..033a622ff3b 100644
--- a/src/lib/netlist/plib/pmempool.h
+++ b/src/lib/netlist/plib/pmempool.h
@@ -189,7 +189,7 @@ namespace plib {
using base_allocator_typex = typename BASEARENA::template allocator_type<std::pair<void * const, info>>;
std::unordered_map<void *, info, std::hash<void *>, std::equal_to<void *>,
base_allocator_typex> m_info;
-// std::unordered_map<void *, info> m_info;
+// std::unordered_map<void *, info> m_info;
std::vector<block *, typename BASEARENA::template allocator_type<block *>> m_blocks;
};
diff --git a/src/lib/netlist/plib/ppmf.h b/src/lib/netlist/plib/ppmf.h
index 8e20ddcf2f1..39e184eaa5d 100644
--- a/src/lib/netlist/plib/ppmf.h
+++ b/src/lib/netlist/plib/ppmf.h
@@ -117,7 +117,7 @@ namespace plib {
|| ci::os() == ci_os::EMSCRIPTEN)) ? 2 :
(ci::type() == ci_compiler::CLANG || ci::type() == ci_compiler::GCC) ? 1 :
(ci::type() == ci_compiler::MSC && ci::m64()) ? 3 :
- 0
+ 0
};
};
@@ -416,10 +416,10 @@ namespace plib {
/// plib::late_pmfp<plib::pmfp<void, pstring>> a(&nld_7493::printer);
/// // Store the a object somewhere
///
- /// // After full construction ...
+ /// // After full construction ...
///
- /// auto dele = a(this);
- /// dele(pstring("Hello World!"));
+ /// auto dele = a(this);
+ /// dele(pstring("Hello World!"));
///
template<typename T>
class late_pmfp
diff --git a/src/lib/netlist/plib/ptimed_queue.h b/src/lib/netlist/plib/ptimed_queue.h
index f257580c726..dffc969e9c6 100644
--- a/src/lib/netlist/plib/ptimed_queue.h
+++ b/src/lib/netlist/plib/ptimed_queue.h
@@ -89,7 +89,7 @@ namespace plib {
std::size_t capacity() const noexcept { return m_list.capacity() - 1; }
bool empty() const noexcept { return (m_end == &m_list[1]); }
- template<bool KEEPSTAT, typename... Args>
+ template<bool KEEPSTAT, typename... Args>
void emplace(Args&&... args) noexcept
{
// Lock
diff --git a/src/lib/netlist/plib/ptypes.h b/src/lib/netlist/plib/ptypes.h
index fdeeb69eca4..7379ed68840 100644
--- a/src/lib/netlist/plib/ptypes.h
+++ b/src/lib/netlist/plib/ptypes.h
@@ -148,7 +148,7 @@ namespace plib
using arch = std::integral_constant<ci_arch, ci_arch::ARM>;
#elif defined(__MIPSEL__) || defined(__mips_isa_rev) || defined(__mips64)
using arch = std::integral_constant<ci_arch, ci_arch::MIPS>;
- #else
+ #else
using arch = std::integral_constant<ci_arch, ci_arch::UNKNOWN>;
#endif
#if defined(__MINGW32__)
diff --git a/src/mame/audio/bu3905.h b/src/mame/audio/bu3905.h
index 7446aceff87..883d5fbc908 100644
--- a/src/mame/audio/bu3905.h
+++ b/src/mame/audio/bu3905.h
@@ -40,7 +40,7 @@
class bu3905_device : public device_t
{
-public: // construction/destruction
+public: // construction/destruction
bu3905_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock = 0);
void write(offs_t offset, u8 data);
diff --git a/src/mame/audio/nl_280zzzap.cpp b/src/mame/audio/nl_280zzzap.cpp
index 98f0fa9f0cd..09bf63049c5 100644
--- a/src/mame/audio/nl_280zzzap.cpp
+++ b/src/mame/audio/nl_280zzzap.cpp
@@ -50,7 +50,7 @@
// numeric instability. Also adjusts values of resistors associated with these
// oscillators to maintain their frequency and voltage levels.
-#define CONVERGENCE_FRONTIERS 1
+#define CONVERGENCE_FRONTIERS 1
static NETLIST_START(mc3340)
@@ -61,7 +61,7 @@ static NETLIST_START(mc3340)
// control signal, with a maximum gain of about 12-13 dB (about a
// factor of 4 in voltage), and higher control voltages giving greater
// attenuation, which scales logarithmically.
-
+
// The netlist here is based on the circuit schematic given in
// Motorola's own data books, especially the most recent ones
// published in the 1990s (e.g. _Motorola Analog/Interface ICs Device
@@ -286,7 +286,7 @@ static NETLIST_START(280zzzap_schematics)
// 280-ZZZAP schematic and the schematic for the earlier Laguna Racer
// board, but this is a non-standard value. The nearest standard value
// is 470 Kohm, and this may have been used in production. Need to
- // check against actual PCBs.
+ // check against actual PCBs.
RES(R51, RES_K(480)) // 470 Kohm in later Laguna and Super Speed Race
RES(R46, RES_M(2)) // 2.2 Mohm in later Laguna and Super Speed Race
RES(R47, RES_M(1))
@@ -840,13 +840,13 @@ NETLIST_START(280zzzap)
// All together, loosening both tolerances and reducing accuracy
// increases speed by ~10%, but it also causes audible "crackling".
-// PARAM(Solver.RELTOL, 1e-2) // default 1e-3 (several % faster, but < quality)
-// PARAM(Solver.VNTOL, 5e-3) // default 1e-7 (several % faster, but < quality)
-// PARAM(Solver.ACCURACY, 1e-3) // default 1e-7 (few % faster)
+// PARAM(Solver.RELTOL, 1e-2) // default 1e-3 (several % faster, but < quality)
+// PARAM(Solver.VNTOL, 5e-3) // default 1e-7 (several % faster, but < quality)
+// PARAM(Solver.ACCURACY, 1e-3) // default 1e-7 (few % faster)
-// PARAM(Solver.DYNAMIC_TS, 1)
-// PARAM(Solver.DYNAMIC_LTE, 1e-4) // default 1e-5
-// PARAM(Solver.DYNAMIC_MIN_TIMESTEP, 1e-8) // default 1e-6
+// PARAM(Solver.DYNAMIC_TS, 1)
+// PARAM(Solver.DYNAMIC_LTE, 1e-4) // default 1e-5
+// PARAM(Solver.DYNAMIC_MIN_TIMESTEP, 1e-8) // default 1e-6
ANALOG_INPUT(I_V12, 12)
ANALOG_INPUT(I_V5, 5)
@@ -899,27 +899,27 @@ NETLIST_START(280zzzap)
// Power pins for logic inputs:
NET_C(I_V5.Q,
- I_F4_2.VCC, I_F4_5.VCC, I_F4_7.VCC, I_F4_10.VCC,
- I_F5_2.VCC, I_F5_5.VCC, I_F5_7.VCC, I_F5_10.VCC,
- I_F5_12.VCC, I_F5_15.VCC)
+ I_F4_2.VCC, I_F4_5.VCC, I_F4_7.VCC, I_F4_10.VCC,
+ I_F5_2.VCC, I_F5_5.VCC, I_F5_7.VCC, I_F5_10.VCC,
+ I_F5_12.VCC, I_F5_15.VCC)
NET_C(GND,
- I_F4_2.GND, I_F4_5.GND, I_F4_7.GND, I_F4_10.GND,
- I_F5_2.GND, I_F5_5.GND, I_F5_7.GND, I_F5_10.GND,
- I_F5_12.GND, I_F5_15.GND)
+ I_F4_2.GND, I_F4_5.GND, I_F4_7.GND, I_F4_10.GND,
+ I_F5_2.GND, I_F5_5.GND, I_F5_7.GND, I_F5_10.GND,
+ I_F5_12.GND, I_F5_15.GND)
// Power inputs for the LM3900 op-amps. These aren't shown on the
// schematics, but it looks like 5-volt power is needed to get proper
// results.
NET_C(I_V5.Q,
- H4_1.VCC, H4_2.VCC, H4_3.VCC, H4_4.VCC,
- H5_1.VCC, H5_2.VCC, H5_3.VCC, H5_4.VCC,
- J3_1.VCC, J3_2.VCC, J3_3.VCC, J3_4.VCC,
- J5_1.VCC, J5_2.VCC, J5_3.VCC)
+ H4_1.VCC, H4_2.VCC, H4_3.VCC, H4_4.VCC,
+ H5_1.VCC, H5_2.VCC, H5_3.VCC, H5_4.VCC,
+ J3_1.VCC, J3_2.VCC, J3_3.VCC, J3_4.VCC,
+ J5_1.VCC, J5_2.VCC, J5_3.VCC)
NET_C(GND,
- H4_1.GND, H4_2.GND, H4_3.GND, H4_4.GND,
- H5_1.GND, H5_2.GND, H5_3.GND, H5_4.GND,
- J3_1.GND, J3_2.GND, J3_3.GND, J3_4.GND,
- J5_1.GND, J5_2.GND, J5_3.GND)
+ H4_1.GND, H4_2.GND, H4_3.GND, H4_4.GND,
+ H5_1.GND, H5_2.GND, H5_3.GND, H5_4.GND,
+ J3_1.GND, J3_2.GND, J3_3.GND, J3_4.GND,
+ J5_1.GND, J5_2.GND, J5_3.GND)
// Power inputs for the CD4016 switches. Again, these aren't shown on
// the schematics, but 5-volt power must be used for the switches to
diff --git a/src/mame/audio/redalert.cpp b/src/mame/audio/redalert.cpp
index 2e295da68e0..a104dc3e6e7 100644
--- a/src/mame/audio/redalert.cpp
+++ b/src/mame/audio/redalert.cpp
@@ -274,7 +274,7 @@ void redalert_state::ww3_audio(machine_config &config)
void redalert_state::panther_audio(machine_config &config)
{
SPEAKER(config, "mono").front_center();
-
+
redalert_audio_m37b(config);
m_audiocpu->set_addrmap(AS_PROGRAM, &redalert_state::panther_audio_map);
}
diff --git a/src/mame/drivers/at.cpp b/src/mame/drivers/at.cpp
index 13461b70b52..879f53f6a69 100644
--- a/src/mame/drivers/at.cpp
+++ b/src/mame/drivers/at.cpp
@@ -2299,7 +2299,7 @@ ROM_END
ROM_START( towerat2xx )
ROM_REGION16_LE( 0x20000, "bios", 0 )
ROM_SYSTEM_BIOS(0, "v2.02", "V2.02" ) // from a model 220
- ROM_SYSTEM_BIOS(1, "v2.01", "V2.01" )
+ ROM_SYSTEM_BIOS(1, "v2.01", "V2.01" )
ROM_SYSTEM_BIOS(2, "v1.07", "V1.07" ) // seen on a model 240 "mega"
ROM_SYSTEM_BIOS(3, "v1.06", "V1.06" ) // from a model 220
ROM_SYSTEM_BIOS(4, "v1.05a", "V1.05a" )
diff --git a/src/mame/drivers/cave.cpp b/src/mame/drivers/cave.cpp
index 18e447be66e..cadc589b512 100644
--- a/src/mame/drivers/cave.cpp
+++ b/src/mame/drivers/cave.cpp
@@ -3302,11 +3302,11 @@ ROM_START( donpachihk )
ROM_END
/*
- When you press the 2p start button, it pauses the game (music still plays).
- Pressing the 1p start button unpauses the game.
- If you press both 1p start and 2p start at the same time, the game lets you play in slow motion (music still plays normally).
+ When you press the 2p start button, it pauses the game (music still plays).
+ Pressing the 1p start button unpauses the game.
+ If you press both 1p start and 2p start at the same time, the game lets you play in slow motion (music still plays normally).
- This was on the label of the ROM chip: 国内撮影用
+ This was on the label of the ROM chip: 国内撮影用
*/
ROM_START( donpachijs )
diff --git a/src/mame/drivers/cdi.cpp b/src/mame/drivers/cdi.cpp
index ebf5ebc57be..41da9a40d8f 100644
--- a/src/mame/drivers/cdi.cpp
+++ b/src/mame/drivers/cdi.cpp
@@ -63,11 +63,11 @@ TODO:
// TODO: NTSC system clock is 30.2098 MHz; additional 4.9152 MHz XTAL provided for UART
#define CLOCK_A 30_MHz_XTAL
-#define LOG_DVC (1 << 1)
-#define LOG_QUIZARD_READS (1 << 2)
-#define LOG_QUIZARD_WRITES (1 << 3)
-#define LOG_QUIZARD_OTHER (1 << 4)
-#define LOG_UART (1 << 5)
+#define LOG_DVC (1 << 1)
+#define LOG_QUIZARD_READS (1 << 2)
+#define LOG_QUIZARD_WRITES (1 << 3)
+#define LOG_QUIZARD_OTHER (1 << 4)
+#define LOG_UART (1 << 5)
#define VERBOSE (0)
#include "logmacro.h"
diff --git a/src/mame/drivers/cischeat.cpp b/src/mame/drivers/cischeat.cpp
index 637290dd756..a2abe98a73b 100644
--- a/src/mame/drivers/cischeat.cpp
+++ b/src/mame/drivers/cischeat.cpp
@@ -690,7 +690,7 @@ void armchamp2_state::armchmp2_map(address_map &map)
map(0x100000, 0x100001).portr("IN2").w(FUNC(armchamp2_state::scudhamm_oki_bank_w));
map(0x100004, 0x100005).portr("DSW"); // DSW
map(0x100008, 0x100009).portr("IN0").w(FUNC(armchamp2_state::output_w));
- map(0x10000d, 0x10000d).rw("adc", FUNC(adc0804_device::read), FUNC(adc0804_device::write));
+ map(0x10000d, 0x10000d).rw("adc", FUNC(adc0804_device::read), FUNC(adc0804_device::write));
map(0x100010, 0x100011).rw(FUNC(armchamp2_state::motor_status_r), FUNC(armchamp2_state::motor_command_w));
// same hookup as acommand, most notably the "Arm Champs II" voice sample on title screen playbacks on oki1 mirror
map(0x100014, 0x100017).rw(m_oki1, FUNC(okim6295_device::read), FUNC(okim6295_device::write)).umask16(0x00ff);
@@ -2108,7 +2108,7 @@ TIMER_DEVICE_CALLBACK_MEMBER(cischeat_state::scudhamm_scanline)
if(scanline == 240) // vblank-out irq
m_maincpu->set_input_line(4, HOLD_LINE);
- if(scanline == 16) // clears a flag, sprite end DMA?
+ if(scanline == 16) // clears a flag, sprite end DMA?
m_maincpu->set_input_line(2, HOLD_LINE);
}
@@ -2129,10 +2129,10 @@ void cischeat_state::scudhamm(machine_config &config)
m_screen->set_video_attributes(VIDEO_UPDATE_AFTER_VBLANK);
// measured values for Arm Champs II: VSync: 59.1784Hz, HSync: 15082.0 kHz
m_screen->set_raw(XTAL(12'000'000)/2,396,0,256,256,16,240);
-// m_screen->set_refresh_hz(30); //TODO: wrong!
-// m_screen->set_vblank_time(ATTOSECONDS_IN_USEC(2500 * 3) /* not accurate */);
-// m_screen->set_size(256, 256);
-// m_screen->set_visarea(0, 256-1, 0 +16, 256-1 -16);
+// m_screen->set_refresh_hz(30); //TODO: wrong!
+// m_screen->set_vblank_time(ATTOSECONDS_IN_USEC(2500 * 3) /* not accurate */);
+// m_screen->set_size(256, 256);
+// m_screen->set_visarea(0, 256-1, 0 +16, 256-1 -16);
m_screen->set_screen_update(FUNC(cischeat_state::screen_update_scudhamm));
m_screen->set_palette(m_palette);
diff --git a/src/mame/drivers/cm1800.cpp b/src/mame/drivers/cm1800.cpp
index f319dd4b18d..1a1a3455906 100644
--- a/src/mame/drivers/cm1800.cpp
+++ b/src/mame/drivers/cm1800.cpp
@@ -50,7 +50,7 @@ public:
{ }
void cm1800(machine_config &config);
-
+
private:
void io_map(address_map &map);
void mem_map(address_map &map);
diff --git a/src/mame/drivers/consola_emt.cpp b/src/mame/drivers/consola_emt.cpp
index 704f27d3546..8e5abca8046 100644
--- a/src/mame/drivers/consola_emt.cpp
+++ b/src/mame/drivers/consola_emt.cpp
@@ -84,8 +84,8 @@ void consoemt_state::io_map(address_map &map)
map(0x220, 0x220).portr("NUMBUS-H");
map(0x230, 0x230).portr("NUMFAB-L");
map(0x240, 0x240).portr("NUMFAB-H");
-// map(0x250, 0x250).r // read on int2
-// map(0x260, 0x260).r // read on int1
+// map(0x250, 0x250).r // read on int2
+// map(0x260, 0x260).r // read on int1
map(0x280, 0x281).rw(m_lcdc, FUNC(hd44780_device::read), FUNC(hd44780_device::write));
}
diff --git a/src/mame/drivers/cps1.cpp b/src/mame/drivers/cps1.cpp
index 76860f47123..ab0472b72aa 100644
--- a/src/mame/drivers/cps1.cpp
+++ b/src/mame/drivers/cps1.cpp
@@ -8071,7 +8071,7 @@ ROM_START( sf2rk )
ROM_REGION( CODE_SIZE, "maincpu", 0 ) /* 68000 code */
ROM_LOAD16_BYTE( "w6.u222", 0x000000, 0x80000, CRC(49422b6f) SHA1(69fe9147c7ee3f6fa29077df16f4ef1224495be3) )
ROM_LOAD16_BYTE( "w5.u196", 0x000001, 0x80000, CRC(7e9c8c2f) SHA1(3d34a3920a771e1d62a41c104c8b16e3c6ac9405) )
-
+
/* The dark screen issue is present on the real pcb, although much less noticable than in mame.
The bootleggers have patched out the code which modifies the brightness/fade component of the palette word.
This is somewhat strange as unlike some bootlegs, this board DOES have the brightness circuitry (2x 7407's, 12x resistor dac) populated!
diff --git a/src/mame/drivers/dec8.cpp b/src/mame/drivers/dec8.cpp
index 40059359833..0b532a48ce7 100644
--- a/src/mame/drivers/dec8.cpp
+++ b/src/mame/drivers/dec8.cpp
@@ -751,10 +751,10 @@ void dec8_state::csilver_mcu_to_main_w(uint8_t data)
{
if (~data & 0x10)
m_i8751_port0 = m_i8751_value >> 8;
-
+
if (~data & 0x20)
m_i8751_port1 = m_i8751_value & 0xff;
-
+
if (~data & 0x40)
{
m_i8751_return = (m_i8751_return & 0xff) | (m_i8751_port0 << 8);
@@ -763,7 +763,7 @@ void dec8_state::csilver_mcu_to_main_w(uint8_t data)
if (~data & 0x80)
m_i8751_return = (m_i8751_return & 0xff00) | m_i8751_port1;
-
+
m_i8751_p2 = data;
}
diff --git a/src/mame/drivers/dpb7000.cpp b/src/mame/drivers/dpb7000.cpp
index 215fbe12f14..2c1a106f7ec 100644
--- a/src/mame/drivers/dpb7000.cpp
+++ b/src/mame/drivers/dpb7000.cpp
@@ -35,13 +35,13 @@
#define LOG_FDC_CTRL (1 << 6)
#define LOG_FDC_PORT (1 << 7)
#define LOG_FDC_CMD (1 << 8)
-#define LOG_FDC_MECH (1 << 9)
+#define LOG_FDC_MECH (1 << 9)
#define LOG_OUTPUT_TIMING (1 << 10)
#define LOG_BRUSH_ADDR (1 << 11)
-#define LOG_STORE_ADDR (1 << 12)
-#define LOG_COMBINER (1 << 13)
-#define LOG_SIZE_CARD (1 << 14)
-#define LOG_FILTER_CARD (1 << 15)
+#define LOG_STORE_ADDR (1 << 12)
+#define LOG_COMBINER (1 << 13)
+#define LOG_SIZE_CARD (1 << 14)
+#define LOG_FILTER_CARD (1 << 15)
#define LOG_ALL (LOG_UNKNOWN | LOG_CSR | LOG_CTRLBUS | LOG_SYS_CTRL | LOG_FDC_CTRL | LOG_FDC_PORT | LOG_FDC_CMD | LOG_FDC_MECH | LOG_BRUSH_ADDR | \
LOG_STORE_ADDR | LOG_COMBINER | LOG_SIZE_CARD | LOG_FILTER_CARD)
@@ -1276,55 +1276,55 @@ void dpb7000_state::cpu_ctrlbus_w(uint16_t data)
((data >> 8) & 0xf) == 6 ? "Initiate" : "Continue");
/*if (((data >> 8) & 0xf) == 6)
{
- m_size_h = 0;
- m_size_v = 0;
+ m_size_h = 0;
+ m_size_v = 0;
}
uint16_t disc_buffer_addr = 0;
uint16_t bx = m_bxlen_counter - m_bxlen;
uint16_t by = m_bylen_counter - m_bylen;
while (m_diskbuf_data_count > 0 && m_bylen_counter < 0x1000)
{
- uint8_t hv = (m_size_h << 4) | m_size_v;
- uint8_t hv_permuted = bitswap<8>(hv,4,6,0,2,5,7,1,3);
-
- if (BIT(m_brush_addr_func, 7)) // Luma Enable
- {
- //printf("%02x ", m_diskbuf_ram[disc_buffer_addr]);
- m_brushstore_lum[by * 256 + hv_permuted] = m_diskbuf_ram[disc_buffer_addr];
- }
-
- disc_buffer_addr++;
- m_diskbuf_data_count--;
-
- if (BIT(m_brush_addr_func, 8)) // Chroma Enable
- {
- m_brushstore_chr[by * 256 + hv_permuted] = m_diskbuf_ram[disc_buffer_addr];
- }
-
- disc_buffer_addr++;
- m_diskbuf_data_count--;
-
- m_size_h++;
- if (m_size_h == 16)
- {
- m_size_h = 0;
- m_size_v++;
- if (m_size_v == 16)
- {
- m_size_v = 0;
- }
- }
-
- bx++;
- m_bxlen_counter++;
- if (m_bxlen_counter == 0x1000)
- {
- bx = 0;
- by++;
- m_bxlen_counter = m_bxlen;
- m_bylen_counter++;
- //printf("\n");
- }
+ uint8_t hv = (m_size_h << 4) | m_size_v;
+ uint8_t hv_permuted = bitswap<8>(hv,4,6,0,2,5,7,1,3);
+
+ if (BIT(m_brush_addr_func, 7)) // Luma Enable
+ {
+ //printf("%02x ", m_diskbuf_ram[disc_buffer_addr]);
+ m_brushstore_lum[by * 256 + hv_permuted] = m_diskbuf_ram[disc_buffer_addr];
+ }
+
+ disc_buffer_addr++;
+ m_diskbuf_data_count--;
+
+ if (BIT(m_brush_addr_func, 8)) // Chroma Enable
+ {
+ m_brushstore_chr[by * 256 + hv_permuted] = m_diskbuf_ram[disc_buffer_addr];
+ }
+
+ disc_buffer_addr++;
+ m_diskbuf_data_count--;
+
+ m_size_h++;
+ if (m_size_h == 16)
+ {
+ m_size_h = 0;
+ m_size_v++;
+ if (m_size_v == 16)
+ {
+ m_size_v = 0;
+ }
+ }
+
+ bx++;
+ m_bxlen_counter++;
+ if (m_bxlen_counter == 0x1000)
+ {
+ bx = 0;
+ by++;
+ m_bxlen_counter = m_bxlen;
+ m_bylen_counter++;
+ //printf("\n");
+ }
}*/
m_diskseq_complete_clk->adjust(attotime::from_msec(1));
break;
@@ -1563,7 +1563,7 @@ void dpb7000_state::fdd_index_callback(floppy_image_device *floppy, int state)
bit_idx++;
//if ((bit_idx % 8) == 0)
//{
- // printf("%02x ", (uint8_t)curr_window);
+ // printf("%02x ", (uint8_t)curr_window);
//}
if (!seen_pregap && curr_window == PREGAP_MARK)
diff --git a/src/mame/drivers/fp6000.cpp b/src/mame/drivers/fp6000.cpp
index 9b163d2f26f..b4f5ecff25a 100644
--- a/src/mame/drivers/fp6000.cpp
+++ b/src/mame/drivers/fp6000.cpp
@@ -480,7 +480,7 @@ void fp6000_state::fp6000(machine_config &config)
PALETTE(config, m_palette).set_entries(16);
- GFXDECODE(config, m_gfxdecode, m_palette, gfx);
+ GFXDECODE(config, m_gfxdecode, m_palette, gfx);
// audio hardware
SPEAKER(config, "mono").front_center();
diff --git a/src/mame/drivers/galaxian.cpp b/src/mame/drivers/galaxian.cpp
index a13351e8dc3..01e4838c562 100644
--- a/src/mame/drivers/galaxian.cpp
+++ b/src/mame/drivers/galaxian.cpp
@@ -1126,7 +1126,7 @@ IRQ_CALLBACK_MEMBER(galaxian_state::froggermc_audiocpu_irq_ack)
*
*************************************/
-uint8_t galaxian_state::frogf_ppi8255_r(offs_t offset)
+uint8_t galaxian_state::frogf_ppi8255_r(offs_t offset)
{
/* the decoding here is very simplistic, and you can address both simultaneously */
uint8_t result = 0xff;
diff --git a/src/mame/drivers/gei.cpp b/src/mame/drivers/gei.cpp
index 12f1a3d934f..d7d3dadd732 100644
--- a/src/mame/drivers/gei.cpp
+++ b/src/mame/drivers/gei.cpp
@@ -58,7 +58,7 @@ Biblical
NOTE: Series 8 through 14 are "T3" sets as noted by the first 2 bytes of the questions ROMs.
The question ROMs are 27256 (twice the size of previous question ROMs) and contain 3
times the number of questions. ROM labels are yellow.
-
+
Series 8: (256K) Series 9: (256K) Series 10: (256K)
---------------- ---------------- -----------------
@@ -88,13 +88,13 @@ NOTE: Series 15 and later are "T4" sets as noted by the first 2 bytes of the que
pink.
-Series 15: (256K) Series 16: (256K) Series 17: (256K)
+Series 15: (256K) Series 16: (256K) Series 17: (256K)
----------------- ----------------- -----------------
Entertainment 2 Late TV Shows Night Time TV
The Seventies The Eighties New Eighties
Facts 2 People and Places History and Geography
New Science 3 Potluck New Potpourri
-N F L Football N B A Basketball N H L Hockey
+N F L Football N B A Basketball N H L Hockey
or alt: Adult Sex 6 or alt: Adult Sex 7 or alt: Adult Sex 8
diff --git a/src/mame/drivers/generalplus_gpl16250.cpp b/src/mame/drivers/generalplus_gpl16250.cpp
index 1f8704b4982..edbe9be0262 100644
--- a/src/mame/drivers/generalplus_gpl16250.cpp
+++ b/src/mame/drivers/generalplus_gpl16250.cpp
@@ -62,8 +62,8 @@
the High School Musical part has its own test mode which tests a different part of the ROM, use the same code but after selecting the game from menu
- --- the individual CS spaces could (and probably should?) be done with a bunch of extra memory spaces rather than the cs0_r / cs0_w etc. but that would mean yet
- another trip through the memory system for almost everything and at ~100Mhz that is slow.
+ --- the individual CS spaces could (and probably should?) be done with a bunch of extra memory spaces rather than the cs0_r / cs0_w etc. but that would mean yet
+ another trip through the memory system for almost everything and at ~100Mhz that is slow.
*/
diff --git a/src/mame/drivers/generalplus_gpl16250_mobigo.cpp b/src/mame/drivers/generalplus_gpl16250_mobigo.cpp
index fc3b149699c..0fc9cf84433 100644
--- a/src/mame/drivers/generalplus_gpl16250_mobigo.cpp
+++ b/src/mame/drivers/generalplus_gpl16250_mobigo.cpp
@@ -2,18 +2,18 @@
// copyright-holders:David Haywood
/*
- GPL16250 / GPAC800 / GMC384 / GCM420 related support
+ GPL16250 / GPAC800 / GMC384 / GCM420 related support
- GPL16250 is the GeneralPlus / SunPlus part number
- GPAC800 is the JAKKS Pacific codename
- GMC384 / GCM420 is what is printed on the die
+ GPL16250 is the GeneralPlus / SunPlus part number
+ GPAC800 is the JAKKS Pacific codename
+ GMC384 / GCM420 is what is printed on the die
- ----
+ ----
- GPL16250 Mobigo support
- the original Mobigo is ROM+RAM config
- the Mobigo 2 is NAND+RAM config
- cartridges are compatible
+ GPL16250 Mobigo support
+ the original Mobigo is ROM+RAM config
+ the Mobigo 2 is NAND+RAM config
+ cartridges are compatible
*/
#include "emu.h"
diff --git a/src/mame/drivers/generalplus_gpl16250_nand.cpp b/src/mame/drivers/generalplus_gpl16250_nand.cpp
index ac4874366db..c1995bc525c 100644
--- a/src/mame/drivers/generalplus_gpl16250_nand.cpp
+++ b/src/mame/drivers/generalplus_gpl16250_nand.cpp
@@ -1,15 +1,15 @@
// license:BSD-3-Clause
// copyright-holders:David Haywood
/*
- GPL16250 / GPAC800 / GMC384 / GCM420 related support
+ GPL16250 / GPAC800 / GMC384 / GCM420 related support
- GPL16250 is the GeneralPlus / SunPlus part number
- GPAC800 is the JAKKS Pacific codename
- GMC384 / GCM420 is what is printed on the die
+ GPL16250 is the GeneralPlus / SunPlus part number
+ GPAC800 is the JAKKS Pacific codename
+ GMC384 / GCM420 is what is printed on the die
- ----
+ ----
- GPL16250 games using NAND + RAM configuration
+ GPL16250 games using NAND + RAM configuration
*/
/*
diff --git a/src/mame/drivers/generalplus_gpl16250_rom.cpp b/src/mame/drivers/generalplus_gpl16250_rom.cpp
index 7e48783274b..66c25c9902e 100644
--- a/src/mame/drivers/generalplus_gpl16250_rom.cpp
+++ b/src/mame/drivers/generalplus_gpl16250_rom.cpp
@@ -1,15 +1,15 @@
// license:BSD-3-Clause
// copyright-holders:David Haywood
/*
- GPL16250 / GPAC800 / GMC384 / GCM420 related support
+ GPL16250 / GPAC800 / GMC384 / GCM420 related support
- GPL16250 is the GeneralPlus / SunPlus part number
- GPAC800 is the JAKKS Pacific codename
- GMC384 / GCM420 is what is printed on the die
+ GPL16250 is the GeneralPlus / SunPlus part number
+ GPAC800 is the JAKKS Pacific codename
+ GMC384 / GCM420 is what is printed on the die
- ----
+ ----
- GPL16250 games using ROM (no extra RAM) configuration
+ GPL16250 games using ROM (no extra RAM) configuration
*/
#include "emu.h"
@@ -329,7 +329,7 @@ static INPUT_PORTS_START( tkmag220 )
PORT_DIPSETTING( 0x0000, DEF_STR( On ) )
PORT_START("IN2")
- PORT_DIPNAME( 0x0001, 0x0001, "IN2" ) // set 0x0001 and 0x0002 on to get a test mode (some of the ROM banks fail their test, but dumps were repeatable, should be verified on another unit)
+ PORT_DIPNAME( 0x0001, 0x0001, "IN2" ) // set 0x0001 and 0x0002 on to get a test mode (some of the ROM banks fail their test, but dumps were repeatable, should be verified on another unit)
PORT_DIPSETTING( 0x0001, DEF_STR( Off ) )
PORT_DIPSETTING( 0x0000, DEF_STR( On ) )
PORT_DIPNAME( 0x0002, 0x0002, DEF_STR( Unknown ) )
@@ -403,8 +403,8 @@ ROM_END
ROM_START( myac220 )
//ROM_REGION16_BE( 0x40000, "maincpu:internal", ROMREGION_ERASE00 ) // not on this model? (or at least not this size, as CS base is different)
//ROM_LOAD16_WORD_SWAP( "internal.rom", 0x00000, 0x40000, NO_DUMP )
-
- ROM_REGION( 0x8000000, "maincpu", ROMREGION_ERASE00 )
+
+ ROM_REGION( 0x8000000, "maincpu", ROMREGION_ERASE00 )
ROM_LOAD16_WORD_SWAP( "myarcadegogamerportable.bin", 0x0000000, 0x8000000, BAD_DUMP CRC(c929a2fa) SHA1(e99007ccc45a268267b4ea0efaf22e3117f5a6bd) ) // again several sections seemed to be erased, was repaired with data from tkmag220, likely good but should be verified
ROM_END
diff --git a/src/mame/drivers/generalplus_gpl16250_romram.cpp b/src/mame/drivers/generalplus_gpl16250_romram.cpp
index 2b71bd74959..fa26a3ca73f 100644
--- a/src/mame/drivers/generalplus_gpl16250_romram.cpp
+++ b/src/mame/drivers/generalplus_gpl16250_romram.cpp
@@ -1,15 +1,15 @@
// license:BSD-3-Clause
// copyright-holders:David Haywood
/*
- GPL16250 / GPAC800 / GMC384 / GCM420 related support
+ GPL16250 / GPAC800 / GMC384 / GCM420 related support
- GPL16250 is the GeneralPlus / SunPlus part number
- GPAC800 is the JAKKS Pacific codename
- GMC384 / GCM420 is what is printed on the die
+ GPL16250 is the GeneralPlus / SunPlus part number
+ GPAC800 is the JAKKS Pacific codename
+ GMC384 / GCM420 is what is printed on the die
- ----
+ ----
- GPL16250 games using ROM + RAM configuration
+ GPL16250 games using ROM + RAM configuration
*/
#include "emu.h"
@@ -324,7 +324,7 @@ void paccon_game_state::machine_reset()
{
jak_s500_game_state::machine_reset();
m_maincpu->space(AS_PROGRAM).install_read_handler(0x6593, 0x6593, read16smo_delegate(*this, FUNC(paccon_game_state::paccon_speedup_hack_r)));
-// install_speedup_hack(0x6593, 0x30033);
+// install_speedup_hack(0x6593, 0x30033);
}
void jak_pf_game_state::machine_reset()
diff --git a/src/mame/drivers/generalplus_gpl16250_spi.cpp b/src/mame/drivers/generalplus_gpl16250_spi.cpp
index ffe8e99d28b..36da6a33b7d 100644
--- a/src/mame/drivers/generalplus_gpl16250_spi.cpp
+++ b/src/mame/drivers/generalplus_gpl16250_spi.cpp
@@ -1,15 +1,15 @@
// license:BSD-3-Clause
// copyright-holders:David Haywood
/*
- GPL16250 / GPAC800 / GMC384 / GCM420 related support
+ GPL16250 / GPAC800 / GMC384 / GCM420 related support
- GPL16250 is the GeneralPlus / SunPlus part number
- GPAC800 is the JAKKS Pacific codename
- GMC384 / GCM420 is what is printed on the die
+ GPL16250 is the GeneralPlus / SunPlus part number
+ GPAC800 is the JAKKS Pacific codename
+ GMC384 / GCM420 is what is printed on the die
- ----
+ ----
- GPL16250 games using SPI Flash + RAM configuration
+ GPL16250 games using SPI Flash + RAM configuration
*/
#include "emu.h"
diff --git a/src/mame/drivers/gridcomp.cpp b/src/mame/drivers/gridcomp.cpp
index 6647ce5859e..e8e682638db 100644
--- a/src/mame/drivers/gridcomp.cpp
+++ b/src/mame/drivers/gridcomp.cpp
@@ -29,24 +29,24 @@
- MK5089N - DTMF generator
- ...
- high-resolution motherboard photo (enough to read chip numbers): http://deltacxx.insomnia247.nl/gridcompass/motherboard.jpg
+ high-resolution motherboard photo (enough to read chip numbers): http://deltacxx.insomnia247.nl/gridcompass/motherboard.jpg
- differences between models:
- - Compass 110x do not have GRiDROM slots.
- - Compass II (112x, 113x) have 4 of them.
- - Compass II 113x have 512x256 screen size
- - Compass 11x9 have 512K ram
- - Compass II have DMA addresses different from Compass 110x
+ differences between models:
+ - Compass 110x do not have GRiDROM slots.
+ - Compass II (112x, 113x) have 4 of them.
+ - Compass II 113x have 512x256 screen size
+ - Compass 11x9 have 512K ram
+ - Compass II have DMA addresses different from Compass 110x
to do:
- keyboard: decode and add the rest of keycodes
- keycode table can be found here on page A-2:
- http://deltacxx.insomnia247.nl/gridcompass/large_files/Yahoo%20group%20backup/RuGRiD-Laptop/files/6_GRiD-OS-Programming/3_GRiD-OS-Reference.pdf
+ keycode table can be found here on page A-2:
+ http://deltacxx.insomnia247.nl/gridcompass/large_files/Yahoo%20group%20backup/RuGRiD-Laptop/files/6_GRiD-OS-Programming/3_GRiD-OS-Reference.pdf
- EAROM, RTC
- serial port (incomplete), modem (incl. DTMF generator)
- - proper custom DMA logic timing
- - implement units other than 1101
+ - proper custom DMA logic timing
+ - implement units other than 1101
missing dumps:
@@ -56,9 +56,9 @@
- external floppy and hard disk (2101, 2102)
to boot CCOS 3.0.1:
- - convert GRIDOS.IMD to IMG format
+ - convert GRIDOS.IMD to IMG format
- create zero-filled 384K bubble memory image and attach it as -memcard
- - attach floppy with `-ieee_grid grid2102 -flop GRIDOS.IMG`
+ - attach floppy with `-ieee_grid grid2102 -flop GRIDOS.IMG`
- use grid1101 with 'ccos' ROM
***************************************************************************/
diff --git a/src/mame/drivers/gs6502.cpp b/src/mame/drivers/gs6502.cpp
index 59f57820b75..16857a9952a 100644
--- a/src/mame/drivers/gs6502.cpp
+++ b/src/mame/drivers/gs6502.cpp
@@ -51,17 +51,17 @@ void gs6502_state::gs6502(machine_config &config)
/* basic machine hardware */
M6502(config, m_maincpu, XTAL(1'843'200));
m_maincpu->set_addrmap(AS_PROGRAM, &gs6502_state::gs6502_mem);
-
+
// Configure UART (via m_acia)
ACIA6850(config, m_acia, 0);
m_acia->txd_handler().set("rs232", FUNC(rs232_port_device::write_txd));
// should this be reverse polarity?
- m_acia->irq_handler().set("rs232", FUNC(rs232_port_device::write_rts));
-
+ m_acia->irq_handler().set("rs232", FUNC(rs232_port_device::write_rts));
+
clock_device &acia_clock(CLOCK(config, "acia_clock", 1'843'200));
acia_clock.signal_handler().set("acia", FUNC(acia6850_device::write_txc));
acia_clock.signal_handler().append("acia", FUNC(acia6850_device::write_rxc));
-
+
// Configure a "default terminal" to connect to the 6850, so we have a console
rs232_port_device &rs232(RS232_PORT(config, "rs232", default_rs232_devices, "terminal"));
rs232.rxd_handler().set(m_acia, FUNC(acia6850_device::write_rxd));
diff --git a/src/mame/drivers/gs6809.cpp b/src/mame/drivers/gs6809.cpp
index 561e57cd246..a59afac6203 100644
--- a/src/mame/drivers/gs6809.cpp
+++ b/src/mame/drivers/gs6809.cpp
@@ -51,17 +51,17 @@ void gs6809_state::gs6809(machine_config &config)
/* basic machine hardware */
MC6809(config, m_maincpu, XTAL(7'372'800));
m_maincpu->set_addrmap(AS_PROGRAM, &gs6809_state::gs6809_mem);
-
+
// Configure UART (via m_acia)
ACIA6850(config, m_acia, 0);
m_acia->txd_handler().set("rs232", FUNC(rs232_port_device::write_txd));
// should this be reverse polarity?
- m_acia->irq_handler().set("rs232", FUNC(rs232_port_device::write_rts));
-
+ m_acia->irq_handler().set("rs232", FUNC(rs232_port_device::write_rts));
+
clock_device &acia_clock(CLOCK(config, "acia_clock", 7'372'800/4)); // E Clock from M6809
acia_clock.signal_handler().set("acia", FUNC(acia6850_device::write_txc));
acia_clock.signal_handler().append("acia", FUNC(acia6850_device::write_rxc));
-
+
// Configure a "default terminal" to connect to the 6850, so we have a console
rs232_port_device &rs232(RS232_PORT(config, "rs232", default_rs232_devices, "terminal"));
rs232.rxd_handler().set(m_acia, FUNC(acia6850_device::write_rxd));
diff --git a/src/mame/drivers/gscpm.cpp b/src/mame/drivers/gscpm.cpp
index 9129aa095c3..dffd5427097 100644
--- a/src/mame/drivers/gscpm.cpp
+++ b/src/mame/drivers/gscpm.cpp
@@ -3,7 +3,7 @@
// http://searle.x10host.com/cpm/index.html
// Written by Frank Palazzolo - frank@avoidspikes.com
-// This driver uses a compact flash card as a hard disk device.
+// This driver uses a compact flash card as a hard disk device.
// To create a virtual disk file, use the following (for a 128MB card):
// chdman createhd -s 134217728 -o filename.chd
// (or use -s 67108864 for 64MB card)
@@ -56,7 +56,7 @@ protected:
void gscpm_state::gscpm_mem(address_map &map)
{
//map(0x0000, 0x3fff).rom("maincpu"); // This is ROM after reset, and RAM is switched in when CP/M is booted
- // (will install handlers dynamically)
+ // (will install handlers dynamically)
map(0x4000, 0xffff).ram();
}
@@ -166,13 +166,13 @@ void gscpm_state::gscpm(machine_config &config)
m_sio->out_txdb_callback().set("rs232", FUNC(rs232_port_device::write_txd));
m_sio->out_rtsb_callback().set("rs232", FUNC(rs232_port_device::write_rts));
m_sio->out_int_callback().set_inputline("maincpu", INPUT_LINE_IRQ0); // Connect interrupt pin to our Z80 INT line
-
+
clock_device &sio_clock(CLOCK(config, "sio_clock", 7'372'800));
sio_clock.signal_handler().set("sio", FUNC(z80sio_device::txca_w));
sio_clock.signal_handler().append("sio", FUNC(z80sio_device::rxca_w));
sio_clock.signal_handler().append("sio", FUNC(z80sio_device::txcb_w));
sio_clock.signal_handler().append("sio", FUNC(z80sio_device::rxcb_w));
-
+
rs232_port_device &rs232(RS232_PORT(config, "rs232", default_rs232_devices, "terminal"));
rs232.rxd_handler().set("sio", FUNC(z80sio_device::rxb_w));
rs232.set_option_device_input_defaults("terminal", DEVICE_INPUT_DEFAULTS_NAME(terminal)); // must be below the DEVICE_INPUT_DEFAULTS_START block
diff --git a/src/mame/drivers/gsz80.cpp b/src/mame/drivers/gsz80.cpp
index f2881275369..a4340ad38db 100644
--- a/src/mame/drivers/gsz80.cpp
+++ b/src/mame/drivers/gsz80.cpp
@@ -20,7 +20,7 @@ class gsz80_state : public driver_device
public:
gsz80_state(const machine_config &mconfig, device_type type, const char *tag)
: driver_device(mconfig, type, tag)
- , m_maincpu(*this, "maincpu") // Tag name for Z80 is "maincpu"
+ , m_maincpu(*this, "maincpu") // Tag name for Z80 is "maincpu"
, m_acia(*this, "acia") // Tag name for UART is "acia"
{ }
@@ -64,23 +64,23 @@ DEVICE_INPUT_DEFAULTS_END
void gsz80_state::gsz80(machine_config &config)
{
/* basic machine hardware */
-
+
// Configure member Z80 (via m_maincpu)
Z80(config, m_maincpu, XTAL(7'372'800));
m_maincpu->set_addrmap(AS_PROGRAM, &gsz80_state::gsz80_mem);
m_maincpu->set_addrmap(AS_IO, &gsz80_state::gsz80_io);
-
+
// Configure UART (via m_acia)
ACIA6850(config, m_acia, 0);
m_acia->txd_handler().set("rs232", FUNC(rs232_port_device::write_txd));
m_acia->rts_handler().set("rs232", FUNC(rs232_port_device::write_rts));
m_acia->irq_handler().set_inputline("maincpu", INPUT_LINE_IRQ0); // Connect interrupt pin to our Z80 INT line
-
+
// Create a clock device to connect to the transmit and receive clock on the 6850
clock_device &acia_clock(CLOCK(config, "acia_clock", 7'372'800));
acia_clock.signal_handler().set("acia", FUNC(acia6850_device::write_txc));
acia_clock.signal_handler().append("acia", FUNC(acia6850_device::write_rxc));
-
+
// Configure a "default terminal" to connect to the 6850, so we have a console
rs232_port_device &rs232(RS232_PORT(config, "rs232", default_rs232_devices, "terminal"));
rs232.rxd_handler().set(m_acia, FUNC(acia6850_device::write_rxd));
diff --git a/src/mame/drivers/gticlub.cpp b/src/mame/drivers/gticlub.cpp
index bef93b13626..90514217c1e 100644
--- a/src/mame/drivers/gticlub.cpp
+++ b/src/mame/drivers/gticlub.cpp
@@ -599,8 +599,8 @@ void gticlub_state::gn680_memmap(address_map &map)
map(0x000000, 0x01ffff).rom();
map(0x200000, 0x203fff).ram();
map(0x300000, 0x300001).w(FUNC(gticlub_state::gn680_sysctrl_w));
-// map(0x310000, 0x311fff).nopw(); //056230 regs?
-// map(0x312000, 0x313fff).nopw(); //056230 ram?
+// map(0x310000, 0x311fff).nopw(); //056230 regs?
+// map(0x312000, 0x313fff).nopw(); //056230 ram?
}
/*****************************************************************************/
diff --git a/src/mame/drivers/homelab.cpp b/src/mame/drivers/homelab.cpp
index 63438d22492..9419a972f18 100644
--- a/src/mame/drivers/homelab.cpp
+++ b/src/mame/drivers/homelab.cpp
@@ -232,7 +232,7 @@ void homelab3_state::homelab3_mem(address_map &map)
map(0x4000, 0xcfff).ram();
map(0xe800, 0xefff).r(FUNC(homelab3_state::exxx_r));
map(0xf800, 0xffff).lr8(NAME([this] (offs_t offset) { if(m_ramhere) return m_ram[offset]; else return m_vram[offset]; }))
- .lw8(NAME([this] (offs_t offset, u8 data) { if(m_ramhere) m_ram[offset]=data; else m_vram[offset]=data; }));
+ .lw8(NAME([this] (offs_t offset, u8 data) { if(m_ramhere) m_ram[offset]=data; else m_vram[offset]=data; }));
}
void homelab3_state::homelab3_io(address_map &map)
diff --git a/src/mame/drivers/hp9845.cpp b/src/mame/drivers/hp9845.cpp
index f504196011a..1543d81dfe1 100644
--- a/src/mame/drivers/hp9845.cpp
+++ b/src/mame/drivers/hp9845.cpp
@@ -2452,7 +2452,7 @@ void hp9845c_state::advance_gv_fsm(bool ds , bool trigger)
// process data on R4 or R6
if (act_trig) {
switch (m_gv_cmd) {
- case 1: // read words command
+ case 1: // read words command
break;
case 0x8: // load X I/O address
m_gv_word_x_position = ~m_gv_data_w & 0x3f; // 0..34
@@ -2494,7 +2494,7 @@ void hp9845c_state::advance_gv_fsm(bool ds , bool trigger)
default:
logerror("unknown 98770A command = %d, parm = 0x%04x\n", m_gv_cmd, m_gv_data_w);
}
- if (m_gv_cmd == 1) { // Read words
+ if (m_gv_cmd == 1) { // Read words
m_gv_fsm_state = GV_STAT_WAIT_MEM_0;
} else if (m_gv_cmd == 0xd) {
m_gv_fsm_state = GV_STAT_WAIT_DS_2; // -> get second data word
diff --git a/src/mame/drivers/itech8.cpp b/src/mame/drivers/itech8.cpp
index c03942f7ba8..8573a6bf0d3 100644
--- a/src/mame/drivers/itech8.cpp
+++ b/src/mame/drivers/itech8.cpp
@@ -2631,7 +2631,7 @@ ROM_START( gpgolfa ) /* P/N 1047 REV. 1 main board + P/N 1038 REV2 sound board *
ROM_REGION( 0x10000, "soundcpu", 0 )
ROM_LOAD( "golf_sound_12-19-91_v.96.u27", 0x00000, 0x10000, CRC(f46b4300) SHA1(7be1878b72c55fb83b2cae3b79b1f65fe8825b4a) ) /* 27C512 with the first 0x8000 as 0xFF fill - handwritten label GOLF SOUND 12/19/91 V.96 */
-// ROM_LOAD( "golf_sound.u27", 0x08000, 0x8000, CRC(3183d7f3) SHA1(482411947aa3074cec7d4491f6ee64785894d27c) ) /* Different than sndv1.u27 */
+// ROM_LOAD( "golf_sound.u27", 0x08000, 0x8000, CRC(3183d7f3) SHA1(482411947aa3074cec7d4491f6ee64785894d27c) ) /* Different than sndv1.u27 */
ROM_REGION( 0xc0000, "grom", 0 )
ROM_LOAD( "grom00.grom0", 0x00000, 0x40000, CRC(c3a7b54b) SHA1(414d693bc5337d578d2630817dd647cf7e5cbcf7) )
diff --git a/src/mame/drivers/jaguar.cpp b/src/mame/drivers/jaguar.cpp
index 38a880b3c56..5bc216440b2 100644
--- a/src/mame/drivers/jaguar.cpp
+++ b/src/mame/drivers/jaguar.cpp
@@ -1766,10 +1766,10 @@ void jaguar_state::video_config(machine_config &config, const XTAL clock)
JAGUARDSP(config, m_dsp, clock);
m_dsp->irq().set(FUNC(jaguar_state::dsp_cpu_int));
-
- // TODO: Tom
+
+ // TODO: Tom
// TODO: Object Processor
-
+
JAG_BLITTER(config, m_blitter, clock);
}
@@ -1808,7 +1808,7 @@ void jaguar_state::cojagr3k(machine_config &config)
vref.add_route(0, "ldac", -1.0, DAC_VREF_NEG_INPUT);
vref.add_route(0, "rdac", 1.0, DAC_VREF_POS_INPUT);
vref.add_route(0, "rdac", -1.0, DAC_VREF_NEG_INPUT);
-
+
// TODO: subwoofer speaker
}
diff --git a/src/mame/drivers/konamigx.cpp b/src/mame/drivers/konamigx.cpp
index 615109c0a0c..b76d73a0ffa 100644
--- a/src/mame/drivers/konamigx.cpp
+++ b/src/mame/drivers/konamigx.cpp
@@ -3983,7 +3983,7 @@ void konamigx_state::init_posthack()
GAME( 1994, konamigx, 0, konamigx_bios, common, konamigx_state, init_konamigx, ROT0, "Konami", "System GX", MACHINE_IS_BIOS_ROOT )
/* --------------------------------------------------------------------------------------------------------------------------------------------------------------------------
- Type 1: standard with an add-on 53936 on the ROM board, analog inputs,
+ Type 1: standard with an add-on 53936 on the ROM board, analog inputs,
and optional 056230 networking for Racin' Force only.
needs the ROZ layer to be playable
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------*/
diff --git a/src/mame/drivers/mc10.cpp b/src/mame/drivers/mc10.cpp
index b4eb6e91cd8..da9daa7c504 100644
--- a/src/mame/drivers/mc10.cpp
+++ b/src/mame/drivers/mc10.cpp
@@ -4,7 +4,7 @@
TRS-80 Radio Shack MicroColor Computer
- May 2020: Added emulation for Darren Atkinson's MCX 128.
+ May 2020: Added emulation for Darren Atkinson's MCX 128.
***************************************************************************/
diff --git a/src/mame/drivers/model3.cpp b/src/mame/drivers/model3.cpp
index 2713d28f673..f7e0ae45f0f 100644
--- a/src/mame/drivers/model3.cpp
+++ b/src/mame/drivers/model3.cpp
@@ -4388,9 +4388,9 @@ ROM_END
/*
Dirt Devils (USA)
-
+
Program ROMs: EPR-21054A, EPR-21055A, EPR-21056A & EPR-21057A
-
+
837-13022-01 MODEL3 STEP2 ROM
834-13527-01 GAME BD DRT USA
833-13528-01 DRT USA
diff --git a/src/mame/drivers/nakajies.cpp b/src/mame/drivers/nakajies.cpp
index 6bc65b490c2..a033f08bb83 100644
--- a/src/mame/drivers/nakajies.cpp
+++ b/src/mame/drivers/nakajies.cpp
@@ -455,7 +455,7 @@ uint8_t nakajies_state::irq_clear_r()
}
-void nakajies_state::irq_clear_w(uint8_t data)
+void nakajies_state::irq_clear_w(uint8_t data)
{
m_irq_active &= ~data;
nakajies_update_irqs();
@@ -468,7 +468,7 @@ uint8_t nakajies_state::irq_enable_r()
}
-void nakajies_state::irq_enable_w(uint8_t data)
+void nakajies_state::irq_enable_w(uint8_t data)
{
m_irq_enabled = data;
nakajies_update_irqs();
@@ -486,13 +486,13 @@ uint8_t nakajies_state::unk_a0_r()
return 0xf7;
}
-void nakajies_state::lcd_memory_start_w(uint8_t data)
+void nakajies_state::lcd_memory_start_w(uint8_t data)
{
m_lcd_memory_start = data;
}
-void nakajies_state::banking_w(offs_t offset, uint8_t data)
+void nakajies_state::banking_w(offs_t offset, uint8_t data)
{
m_bank[offset] = data;
update_banks();
diff --git a/src/mame/drivers/nemesis.cpp b/src/mame/drivers/nemesis.cpp
index ed2b9f5a00d..2ce5e6a11b8 100644
--- a/src/mame/drivers/nemesis.cpp
+++ b/src/mame/drivers/nemesis.cpp
@@ -3040,8 +3040,8 @@ ROM_START( twinbeeb )
ROM_LOAD16_WORD( "boot.bin", 0x000, 0x1e0, CRC(ee6e93d7) SHA1(7302c08a726a760f59d6837be8fd10bbd1f79da0) )
ROM_REGION( 0x806*0x90, "bubblememory", ROMREGION_ERASE00 )
-// ROM_LOAD16_WORD_SWAP( "bubble_twinbeeb", 0x000, 0x48360, CRC(21599cf5) SHA1(7eb068e10134d5c66f7f90f6d6b265353b7bd8be) ) // re-encoded data
-
+// ROM_LOAD16_WORD_SWAP( "bubble_twinbeeb", 0x000, 0x48360, CRC(21599cf5) SHA1(7eb068e10134d5c66f7f90f6d6b265353b7bd8be) ) // re-encoded data
+
ROM_REGION( 0x806*0x80, "bubblememory_temp", 0 )
ROM_LOAD( "twinbee.bin", 0x00000, 0x40300, CRC(4d396a0a) SHA1(ee922a1bd7062c0fcf358f5079cca6424aadc975) )
@@ -3094,7 +3094,7 @@ void nemesis_state::bubsys_twinbeeb_init()
for (int i = 0; i < 0x806; i++)
{
uint16_t crc = 0;
-
+
int sourcebase = i * 0x80;
int destbase = i * 0x90;
diff --git a/src/mame/drivers/neogeo.cpp b/src/mame/drivers/neogeo.cpp
index eee92e5e0d0..b12fc640758 100644
--- a/src/mame/drivers/neogeo.cpp
+++ b/src/mame/drivers/neogeo.cpp
@@ -3093,7 +3093,7 @@ ROM_START( bstars ) /* MVS VERSION */
ROM_REGION( 0x100000, "cslot1:maincpu", ROMREGION_BE|ROMREGION_16BIT )
ROM_LOAD16_WORD_SWAP( "002-pg.p1", 0x000000, 0x080000, CRC(c100b5f5) SHA1(4cea9f29ad67288c3eccfa4cf961ee9782e49165) ) /* MB834200 */
/* also found set with P1 on maskrom with chip label 002-P1 */
-
+
NEO_SFIX_128K( "002-s1.s1", CRC(1a7fd0c6) SHA1(3fc701b7afddab369ddf9dedfbc5e1aaf80b8af3) ) /* MB831000 */
NEO_BIOS_AUDIO_256K( "002-m1.m1", CRC(4ecaa4ee) SHA1(50abfb8eed6cb4887393089f9ccc76f306ef69b5) ) /* MB832000 */
@@ -3107,7 +3107,7 @@ ROM_START( bstars ) /* MVS VERSION */
ROM_REGION( 0x080000, "cslot1:ymsnd.deltat", 0 )
ROM_LOAD( "002-v21.v21", 0x000000, 0x080000, CRC(04a733d1) SHA1(84159368c0f6de2c3b8121227201cd3422455cf6) ) /* MB834000 */
/* also found set with different chip labels on V11 and V21 locations; chip labels are : 002-V1 and 002-V2 */
-
+
ROM_REGION( 0x300000, "cslot1:sprites", 0 )
ROM_LOAD16_BYTE( "002-c1.c1", 0x000000, 0x080000, CRC(aaff2a45) SHA1(c91ee72d1d74514df8ec44fca703409d92158ae3) ) /* Plane 0,1 */ /* MB834200 */
ROM_LOAD16_BYTE( "002-c2.c2", 0x000001, 0x080000, CRC(3ba0f7e4) SHA1(f023b134b9c7994f477867307d2732026033501d) ) /* Plane 2,3 */ /* MB834200 */
@@ -3412,7 +3412,7 @@ ROM_START( ncombat ) /* MVS VERSION */
ROM_REGION( 0x100000, "cslot1:maincpu", ROMREGION_BE|ROMREGION_16BIT )
ROM_LOAD16_WORD_SWAP( "009-p1.p1", 0x000000, 0x080000, CRC(b45fcfbf) SHA1(3872147dda2d1ba905d35f4571065d87b1958b4a) ) /* TC534200 */
/* also found set with P1 on TC534200 with chip label 009-PG1 */
-
+
NEO_SFIX_128K( "009-s1.s1", CRC(d49afee8) SHA1(77615f12edf08ae8f1353f7a056a8f3a50d3ebdc) ) /* TC531000 */
NEO_BIOS_AUDIO_128K( "009-m1.m1", CRC(b5819863) SHA1(6f2309d51531052dbf7d712993c9e35649db0d84) ) /* TC531001 */
@@ -3601,7 +3601,7 @@ ROM_START( kotmh ) /* AES VERSION */
ROM_LOAD16_WORD_SWAP( "016-hp1.p1",0x000000, 0x080000, CRC(b774621e) SHA1(7684b2e07163aec68cd083ef1d8900f855f6cb42) ) /* TC534200 */
ROM_LOAD16_WORD_SWAP( "016-p2.p2", 0x080000, 0x020000, CRC(12afdc2b) SHA1(3a95f5910cbb9f17e63ddece995c6e120fa2f622) ) /* TC531024 */
/* also found sets with P1 on TC534200 and P2 on TC531024 with chip labels 016-P1 and 016-P2 */
-
+
NEO_SFIX_128K( "016-s1.s1", CRC(1a2eeeb3) SHA1(8d2b96d395020197bc59294b6b0c8d62b1d8d4dd) ) /* TC531000 */
NEO_BIOS_AUDIO_128K( "016-m1.m1", CRC(9da9ca10) SHA1(88b915827d529f39c365d3e41197d5461e07a085) ) /* TC531001 */
@@ -7673,7 +7673,7 @@ ROM_END
NEO-MVS PROGGSC / NEO-MVS CHA256
****************************************/
-/* The location test version uses socketed EPROMs on the PROG board, flash chips on adapter boards for the C ROMs and EPROMs for M1 and S1 on the CHA.
+/* The location test version uses socketed EPROMs on the PROG board, flash chips on adapter boards for the C ROMs and EPROMs for M1 and S1 on the CHA.
It has a piece of cardboard between the 2 boards to avoid shorts because the socketed flash adapter boards are a bit too high and could get shorted with traces on the PROG board. */
ROM_START( ghostlop ) /* Prototype */
@@ -9728,7 +9728,7 @@ ROM_START( pnyaa ) /* Encrypted Set */ /* MVS ONLY RELEASE */
/* Encrypted */
NEO_BIOS_AUDIO_ENCRYPTED_512K( "m1.m1", CRC(c7853ccd) SHA1(1b7a4c5093cf0fe3861ce44fd1d3b30c71ad0abe) ) /* mask rom TC534000 */
/* M1 on eprom with sticker; chip label is M1 */
-
+
ROM_REGION( 0x400000, "cslot1:ymsnd", 0 )
/* Encrypted */
ROM_LOAD( "267-v1.v1", 0x000000, 0x400000, CRC(e2e8e917) SHA1(7f412d55aebff3d38a225a88c632916295ab0584) ) /* mask rom TC5332204 */
diff --git a/src/mame/drivers/nes_vt.cpp b/src/mame/drivers/nes_vt.cpp
index 91a8cf7c829..2d128fb9c35 100644
--- a/src/mame/drivers/nes_vt.cpp
+++ b/src/mame/drivers/nes_vt.cpp
@@ -2038,7 +2038,7 @@ CONS( 2017, rtvgc300fz,0, 0, nes_vt_cy_bigger, nes_vt, nes_vt_cy_lexibook_stat
(units for use with TV)
Lexibook Retro TV Game Console (300 Games) - Cars
- Lexibook Retro TV Game Console (300 Games) - PJ Masks
+ Lexibook Retro TV Game Console (300 Games) - PJ Masks
(more?)
*/
diff --git a/src/mame/drivers/phc25.cpp b/src/mame/drivers/phc25.cpp
index ff36849284c..2c9011c54ea 100644
--- a/src/mame/drivers/phc25.cpp
+++ b/src/mame/drivers/phc25.cpp
@@ -23,7 +23,7 @@
TODO:
- sound is strange, volume is often low to non-existent.
- - colours and graphics are different to those shown at
+ - colours and graphics are different to those shown at
http://www.phc25.com/collection.htm - who is correct?
- screen attribute bit 7 is unknown
diff --git a/src/mame/drivers/plygonet.cpp b/src/mame/drivers/plygonet.cpp
index c86f3902fe2..beff89e7103 100644
--- a/src/mame/drivers/plygonet.cpp
+++ b/src/mame/drivers/plygonet.cpp
@@ -125,9 +125,9 @@ void polygonet_state::polygonet_sys_w(offs_t offset, uint8_t data)
}
-/* irqs 3, 5, and 7 have valid vectors
+/* irqs 3, 5, and 7 have valid vectors
irq 3 is network. currently disabled for reasons above
- irq 5 is vblank
+ irq 5 is vblank
irq 7 does nothing (it jsrs to a rts and then rte) */
INTERRUPT_GEN_MEMBER(polygonet_state::polygonet_interrupt)
{
diff --git a/src/mame/drivers/redalert.cpp b/src/mame/drivers/redalert.cpp
index 162775d3c84..be1bb4be1ce 100644
--- a/src/mame/drivers/redalert.cpp
+++ b/src/mame/drivers/redalert.cpp
@@ -68,11 +68,11 @@
* Everything needs to be verified on real PCB or schematics
Known issues/to-do's Panther:
- * Analog sounds (same as Red Alert?)
- * AY sounds needs an actual ref, they are dubious at best
+ * Analog sounds (same as Red Alert?)
+ * AY sounds needs an actual ref, they are dubious at best
* No title screen?
- * Fails ROM check in service mode with "ROM ERR 0", bootleg/prototype set?
- * Likewise sports corrupted words in input test
+ * Fails ROM check in service mode with "ROM ERR 0", bootleg/prototype set?
+ * Likewise sports corrupted words in input test
********************************************************************
IREM 'WW III' 1981
@@ -96,19 +96,19 @@
********************************************************************
Panther notes:
- - Hold start 1 on boot, press coin chutes or service button to cycle:
- -> RAM/ROM check
- -> Continous Video drawing check (only if above is success)
- -> (NMI again goes to PROM check and beyond)
- - Hold start 2 on boot:
- -> PROM check?
- -> Input check
- -> Freeze
- - Notes on "ROM ERR 0":
- PC=b482 ROM check main routine
- PC=b5cc SUM16 individual ROM chunk (ROM 0 -> 8000-87ff, ROM 1 -> 8800-8fff ...)
- PC=b5b4 Taking the branch -> failed check
-
+ - Hold start 1 on boot, press coin chutes or service button to cycle:
+ -> RAM/ROM check
+ -> Continous Video drawing check (only if above is success)
+ -> (NMI again goes to PROM check and beyond)
+ - Hold start 2 on boot:
+ -> PROM check?
+ -> Input check
+ -> Freeze
+ - Notes on "ROM ERR 0":
+ PC=b482 ROM check main routine
+ PC=b5cc SUM16 individual ROM chunk (ROM 0 -> 8000-87ff, ROM 1 -> 8800-8fff ...)
+ PC=b5b4 Taking the branch -> failed check
+
****************************************************************************/
@@ -265,7 +265,7 @@ static INPUT_PORTS_START( m27_base )
PORT_BIT( 0x02, IP_ACTIVE_HIGH, IPT_COIN2 ) PORT_IMPULSE(1) PORT_CHANGED_MEMBER(DEVICE_SELF, redalert_state, coin_inserted, 0)
PORT_BIT( 0x04, IP_ACTIVE_HIGH, IPT_SERVICE1 ) PORT_IMPULSE(1) PORT_CHANGED_MEMBER(DEVICE_SELF, redalert_state, coin_inserted, 0)
PORT_BIT( 0xf8, IP_ACTIVE_HIGH, IPT_UNUSED )
-
+
PORT_START("KEY1")
PORT_BIT( 0x01, IP_ACTIVE_HIGH, IPT_START1 )
PORT_BIT( 0x02, IP_ACTIVE_HIGH, IPT_START2 )
@@ -321,7 +321,7 @@ static INPUT_PORTS_START( panther )
// no p2
PORT_MODIFY("KEY1")
PORT_BIT( 0x02, IP_ACTIVE_HIGH, IPT_UNUSED )
-
+
PORT_MODIFY("KEY2")
PORT_BIT( 0x7c, IP_ACTIVE_HIGH, IPT_UNUSED )
@@ -332,7 +332,7 @@ static INPUT_PORTS_START( panther )
PORT_DIPSETTING( 0x02, "5" )
PORT_DIPSETTING( 0x03, "6" )
// actually just flips input test text if enabled, everything else is unaffected
- PORT_DIPNAME( 0x04, 0x00, "Cabinet in Service Mode" ) PORT_DIPLOCATION("SW:3")
+ PORT_DIPNAME( 0x04, 0x00, "Cabinet in Service Mode" ) PORT_DIPLOCATION("SW:3")
PORT_DIPSETTING( 0x00, DEF_STR( Upright ) )
PORT_DIPSETTING( 0x04, DEF_STR( Cocktail ) )
PORT_DIPNAME( 0x08, 0x00, DEF_STR( Bonus_Life ) ) PORT_DIPLOCATION("SW:4")
@@ -357,7 +357,7 @@ INPUT_PORTS_END
static INPUT_PORTS_START( demoneye )
PORT_INCLUDE( m27_base )
-
+
PORT_START("DSW")
PORT_DIPNAME( 0x03, 0x00, DEF_STR( Lives ) )
PORT_DIPSETTING( 0x00, "3" )
diff --git a/src/mame/drivers/rt1715.cpp b/src/mame/drivers/rt1715.cpp
index cc58ab498f5..5f426cad32e 100644
--- a/src/mame/drivers/rt1715.cpp
+++ b/src/mame/drivers/rt1715.cpp
@@ -597,7 +597,7 @@ static INPUT_PORTS_START( k7658 )
// D10 --- E10 --- B10 C10 E52 E51
PORT_BIT( 0x01, IP_ACTIVE_HIGH, IPT_KEYBOARD ) PORT_CODE(KEYCODE_P) PORT_CHAR('p') PORT_CHAR('P')
PORT_BIT( 0x02, IP_ACTIVE_HIGH, IPT_UNUSED )
- PORT_BIT( 0x04, IP_ACTIVE_HIGH, IPT_KEYBOARD ) PORT_CODE(KEYCODE_0) PORT_CHAR('0') PORT_CHAR(')')
+ PORT_BIT( 0x04, IP_ACTIVE_HIGH, IPT_KEYBOARD ) PORT_CODE(KEYCODE_0) PORT_CHAR('0') PORT_CHAR(')')
PORT_BIT( 0x08, IP_ACTIVE_HIGH, IPT_UNUSED )
PORT_BIT( 0x10, IP_ACTIVE_HIGH, IPT_KEYBOARD ) PORT_CODE(KEYCODE_SLASH) PORT_CHAR('/') PORT_CHAR('?')
PORT_BIT( 0x20, IP_ACTIVE_HIGH, IPT_KEYBOARD ) PORT_CODE(KEYCODE_COLON) PORT_CHAR(';') PORT_CHAR(':')
diff --git a/src/mame/drivers/seattle.cpp b/src/mame/drivers/seattle.cpp
index 543096522d6..1461c8c16f9 100644
--- a/src/mame/drivers/seattle.cpp
+++ b/src/mame/drivers/seattle.cpp
@@ -440,8 +440,8 @@ void seattle_state::machine_start()
m_maincpu->mips3drc_set_options(MIPS3DRC_FASTEST_OPTIONS + MIPS3DRC_STRICT_VERIFY);
// configure fast RAM regions
-// m_maincpu->add_fastram(0x00000000, 0x007fffff, FALSE, m_rambase);
-// m_maincpu->add_fastram(0x1fc00000, 0x1fc7ffff, TRUE, m_rombase);
+// m_maincpu->add_fastram(0x00000000, 0x007fffff, FALSE, m_rambase);
+// m_maincpu->add_fastram(0x1fc00000, 0x1fc7ffff, TRUE, m_rombase);
save_item(NAME(m_widget.ethernet_addr));
save_item(NAME(m_widget.irq_num));
@@ -1271,7 +1271,7 @@ static INPUT_PORTS_START( seattle_common )
PORT_BIT( 0x2000, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(2)
PORT_BIT( 0x4000, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(2)
PORT_BIT( 0x8000, IP_ACTIVE_LOW, IPT_UNUSED )
-
+
PORT_START("IN2")
PORT_BIT( 0xffff, IP_ACTIVE_LOW, IPT_UNUSED )
@@ -1301,12 +1301,12 @@ static INPUT_PORTS_START( seattle_4p )
PORT_BIT( 0x2000, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(4)
PORT_BIT( 0x4000, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(4)
PORT_BIT( 0x8000, IP_ACTIVE_LOW, IPT_UNUSED )
-
+
INPUT_PORTS_END
static INPUT_PORTS_START( seattle_analog )
PORT_INCLUDE(seattle_common)
-
+
PORT_MODIFY("SYSTEM")
PORT_BIT( 0x0004, IP_ACTIVE_LOW, IPT_START1 ) PORT_NAME("Start Button")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_UNUSED )
@@ -1334,7 +1334,7 @@ static INPUT_PORTS_START( wg3dh )
PORT_DIPNAME( 0x0002, 0x0002, "Boot ROM Test" )
PORT_DIPSETTING( 0x0002, DEF_STR( Off ) )
PORT_DIPSETTING( 0x0000, DEF_STR( On ) )
-
+
PORT_MODIFY("IN1")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(1) PORT_NAME("P1 Shoot/Block")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(1) PORT_NAME("P1 Pass/Steal")
@@ -1344,7 +1344,7 @@ static INPUT_PORTS_START( wg3dh )
PORT_BIT( 0x2000, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(2) PORT_NAME("P2 Pass/Steal")
PORT_BIT( 0x4000, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(2) PORT_NAME("P2 Burst/Turbo")
PORT_BIT( 0x8000, IP_ACTIVE_LOW, IPT_UNUSED )
-
+
PORT_MODIFY("IN2")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(3) PORT_NAME("P3 Shoot/Block")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(3) PORT_NAME("P3 Pass/Steal")
@@ -1604,7 +1604,7 @@ static INPUT_PORTS_START( blitz )
PORT_BIT( 0x1000, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(2) PORT_NAME("P2 A")
PORT_BIT( 0x2000, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(2) PORT_NAME("P2 B")
PORT_BIT( 0x4000, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(2) PORT_NAME("P2 Turbo")
-
+
PORT_MODIFY("IN2")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(3) PORT_NAME("P3 A")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(3) PORT_NAME("P3 B")
@@ -1948,7 +1948,7 @@ void seattle_state::seattle200_widget(machine_config &config)
seattle200(config);
m_galileo->set_map(3, address_map_constructor(&seattle_state::widget_cs3_map, "widget_cs3_map", this), this);
-
+
SMC91C94(config, m_ethernet, 0);
m_ethernet->irq_handler().set(FUNC(seattle_state::ethernet_interrupt));
}
@@ -2122,7 +2122,7 @@ void seattle_state::carnevil(machine_config &config)
{
seattle150(config);
m_galileo->set_map(3, address_map_constructor(&seattle_state::carnevil_cs3_map, "carnevil_cs3_map", this), this);
-
+
dcs2_audio_2115_device &dcs(DCS2_AUDIO_2115(config, "dcs", 0));
dcs.set_dram_in_mb(2);
dcs.set_polling_offset(0x0af7);
@@ -2479,7 +2479,7 @@ ROM_START( carnevil1 )
ROM_REGION32_LE( 0x100000, PCI_ID_GALILEO":update", ROMREGION_ERASEFF )
- ROM_REGION32_LE( 0x80000, PCI_ID_GALILEO":rom", 0 ) // Boot Rom Version 1.9
+ ROM_REGION32_LE( 0x80000, PCI_ID_GALILEO":rom", 0 ) // Boot Rom Version 1.9
ROM_LOAD( "carnevil1_9.u32", 0x000000, 0x80000, CRC(82c07f2e) SHA1(fa51c58022ce251c53bad12fc6ffadb35adb8162) )
DISK_REGION( PCI_ID_IDE":ide:0:hdd:image" ) // Hard Drive v1.0.1 Diagnostics v3.3 / Oct 20 1998 11:44:41
@@ -2506,7 +2506,7 @@ ROM_START( hyprdriv )
ROM_REGION32_LE( 0x80000, PCI_ID_GALILEO":rom", 0 )
ROM_LOAD( "hyperdrive1.1.u32", 0x000000, 0x80000, CRC(3120991e) SHA1(8e47888a5a23c9d3c0d0c64497e1cfb4e46c2cd6) ) // Boot Rom Version 2. Doesn't work, maybe for older drive?
- ROM_LOAD( "hyprdrve.u32", 0x000000, 0x80000, CRC(3e18cb80) SHA1(b18cc4253090ee1d65d72a7ec0c426ed08c4f238) ) // Boot Rom Version 9.
+ ROM_LOAD( "hyprdrve.u32", 0x000000, 0x80000, CRC(3e18cb80) SHA1(b18cc4253090ee1d65d72a7ec0c426ed08c4f238) ) // Boot Rom Version 9.
DISK_REGION( PCI_ID_IDE":ide:0:hdd:image" ) // Version 1.40 Oct 23 1998 15:16:00
DISK_IMAGE( "hyprdriv", 0, SHA1(8cfa343797575b32f46cc24150024be48963a03e) )
@@ -2668,7 +2668,7 @@ void seattle_state::init_hyprdriv()
// speedups
m_maincpu->mips3drc_add_hotspot(0x801643BC, 0x3C03801B, 250); // confirmed
m_maincpu->mips3drc_add_hotspot(0x80011FB8, 0x8E020018, 250); // confirmed
-// m_maincpu->mips3drc_add_hotspot(0x80136A80, 0x3C02801D, 250); // potential
+// m_maincpu->mips3drc_add_hotspot(0x80136A80, 0x3C02801D, 250); // potential
}
diff --git a/src/mame/drivers/seta2.cpp b/src/mame/drivers/seta2.cpp
index 01164213908..ea9f48dfb4c 100644
--- a/src/mame/drivers/seta2.cpp
+++ b/src/mame/drivers/seta2.cpp
@@ -2315,11 +2315,11 @@ void seta2_state::seta2(machine_config &config)
/*
- P0-113A PCB has different sound/cpu input clock (32.53047MHz / 2, common input clock is 50MHz / 3)
- and/or some PCB variant has uses this input clock?
- reference:
- https://youtu.be/6f-znVzcrmg, https://youtu.be/zJi_d463UQE (gundamex)
- https://youtu.be/Ung9XeLisV0 (grdiansa)
+ P0-113A PCB has different sound/cpu input clock (32.53047MHz / 2, common input clock is 50MHz / 3)
+ and/or some PCB variant has uses this input clock?
+ reference:
+ https://youtu.be/6f-znVzcrmg, https://youtu.be/zJi_d463UQE (gundamex)
+ https://youtu.be/Ung9XeLisV0 (grdiansa)
*/
void seta2_state::seta2_32m(machine_config &config)
{
diff --git a/src/mame/drivers/smotor.cpp b/src/mame/drivers/smotor.cpp
index 4c08e69574b..0479bb8b41b 100644
--- a/src/mame/drivers/smotor.cpp
+++ b/src/mame/drivers/smotor.cpp
@@ -133,10 +133,10 @@
#include "speaker.h"
#include "tilemap.h"
-#define FIRST_CLOCK XTAL(5'000'000)
-#define SECOND_CLOCK XTAL(20'000'000)
-#define CPU_CLOCK (FIRST_CLOCK) // verified 5 MHz.
-#define SND_CLOCK (SECOND_CLOCK / 8) // verified 2.5 MHz.
+#define FIRST_CLOCK XTAL(5'000'000)
+#define SECOND_CLOCK XTAL(20'000'000)
+#define CPU_CLOCK (FIRST_CLOCK) // verified 5 MHz.
+#define SND_CLOCK (SECOND_CLOCK / 8) // verified 2.5 MHz.
class smotor_state : public driver_device
@@ -304,7 +304,7 @@ void smotor_state::smotor_palette(palette_device &palette) const
void smotor_state::smotor_cpu_map(address_map &map)
{
map(0x0000, 0xbfff).rom();
- map(0xf000, 0xf7ff).ram().w(FUNC(smotor_state::videoram_w)).share("videoram");
+ map(0xf000, 0xf7ff).ram().w(FUNC(smotor_state::videoram_w)).share("videoram");
map(0xf800, 0xffff).ram();
}
@@ -339,7 +339,7 @@ void smotor_state::cpu_io_videoreg_w(offs_t offset, uint8_t data)
case 0x00:
m_videoreg[offset] = data;
m_ym2413->set_output_gain(ALL_OUTPUTS, BIT(data, 4) ? 1.0f : 0.0f);
- if ((BIT(data, 0)) & (BIT(data, 2))) // coin lock + coin a, used for init.
+ if ((BIT(data, 0)) & (BIT(data, 2))) // coin lock + coin a, used for init.
break;
machine().bookkeeping().coin_lockout_global_w(BIT(data, 0)); // coin lock.
machine().bookkeeping().coin_counter_w(1, BIT(data, 1)); // coin b counter.
@@ -376,7 +376,7 @@ static INPUT_PORTS_START( smotor )
PORT_BIT( 0x80, IP_ACTIVE_LOW, IPT_BUTTON2 )
PORT_START("DSW1")
- PORT_DIPNAME( 0x0f, 0x0f, DEF_STR( Coin_A ) ) PORT_DIPLOCATION("SW1:1,2,3,4")
+ PORT_DIPNAME( 0x0f, 0x0f, DEF_STR( Coin_A ) ) PORT_DIPLOCATION("SW1:1,2,3,4")
PORT_DIPSETTING( 0x0f, DEF_STR( 1C_1C ) )
PORT_DIPSETTING( 0x0e, DEF_STR( 1C_2C ) )
PORT_DIPSETTING( 0x0d, DEF_STR( 1C_3C ) )
@@ -393,7 +393,7 @@ static INPUT_PORTS_START( smotor )
PORT_DIPSETTING( 0x02, DEF_STR( 4C_5C ) )
PORT_DIPSETTING( 0x01, DEF_STR( 5C_1C ) )
PORT_DIPSETTING( 0x00, DEF_STR( 5C_2C ) )
- PORT_DIPNAME( 0xf0, 0xf0, DEF_STR( Coin_B ) ) PORT_DIPLOCATION("SW1:5,6,7,8")
+ PORT_DIPNAME( 0xf0, 0xf0, DEF_STR( Coin_B ) ) PORT_DIPLOCATION("SW1:5,6,7,8")
PORT_DIPSETTING( 0xf0, DEF_STR( 1C_1C ) )
PORT_DIPSETTING( 0xe0, DEF_STR( 1C_2C ) )
PORT_DIPSETTING( 0xd0, DEF_STR( 1C_3C ) )
@@ -412,26 +412,26 @@ static INPUT_PORTS_START( smotor )
PORT_DIPSETTING( 0x00, DEF_STR( 5C_2C ) )
PORT_START("DSW2")
- PORT_DIPNAME( 0x01, 0x00, DEF_STR( Demo_Sounds ) ) PORT_DIPLOCATION("SW2:1")
+ PORT_DIPNAME( 0x01, 0x00, DEF_STR( Demo_Sounds ) ) PORT_DIPLOCATION("SW2:1")
PORT_DIPSETTING( 0x01, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x06, 0x04, DEF_STR( Difficult ) ) PORT_DIPLOCATION("SW2:2,3")
+ PORT_DIPNAME( 0x06, 0x04, DEF_STR( Difficult ) ) PORT_DIPLOCATION("SW2:2,3")
PORT_DIPSETTING( 0x06, "Easy (with gas cans, only trucks)" )
PORT_DIPSETTING( 0x04, "Normal (with gas cans, trucks and cars)" )
PORT_DIPSETTING( 0x02, "Medium (no gas cans, both trucks and cars)" )
PORT_DIPSETTING( 0x00, "Hard (no gas cans, both trucks and cars)" )
- PORT_DIPNAME( 0x18, 0x18, DEF_STR( Game_Time ) ) PORT_DIPLOCATION("SW2:4,5")
+ PORT_DIPNAME( 0x18, 0x18, DEF_STR( Game_Time ) ) PORT_DIPLOCATION("SW2:4,5")
PORT_DIPSETTING( 0x18, "1:00" )
PORT_DIPSETTING( 0x10, "1:20" )
PORT_DIPSETTING( 0x08, "1:40" )
PORT_DIPSETTING( 0x00, "2:00" )
- PORT_DIPNAME( 0x20, 0x20, "Cycle / Car" ) PORT_DIPLOCATION("SW2:6")
+ PORT_DIPNAME( 0x20, 0x20, "Cycle / Car" ) PORT_DIPLOCATION("SW2:6")
PORT_DIPSETTING( 0x20, "Cycle" )
PORT_DIPSETTING( 0x00, "Car" )
- PORT_DIPNAME( 0x40, 0x40, DEF_STR( Unused ) ) PORT_DIPLOCATION("SW2:7")
+ PORT_DIPNAME( 0x40, 0x40, DEF_STR( Unused ) ) PORT_DIPLOCATION("SW2:7")
PORT_DIPSETTING( 0x40, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unused ) ) PORT_DIPLOCATION("SW2:8")
+ PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unused ) ) PORT_DIPLOCATION("SW2:8")
PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
INPUT_PORTS_END
diff --git a/src/mame/drivers/spg29x.cpp b/src/mame/drivers/spg29x.cpp
index 8ca4f5133df..dc25021cc42 100644
--- a/src/mame/drivers/spg29x.cpp
+++ b/src/mame/drivers/spg29x.cpp
@@ -537,21 +537,21 @@ ROM_START( zone3d )
unk1: 0000000000000007
unk2: 00000000000000fa
unk3: 01
-
+
The SD card has no label, but there's some printing on the back:
MMAGF0380M3085-WY
TC00201106 by Taiwan
--
Dumped with hardware write blocker, so this image is correct, and hasn't been corrupted by Windows
-
+
Image contains a FAT filesystem with a number of compressed? programs that presumably get loaded into RAM by
the bootloader in the serial flash ROM
*/
DISK_REGION( "cfcard" )
DISK_IMAGE( "zone3d", 0, SHA1(77971e2dbfb2ceac12f482d72539c2e042fd9108) )
-
+
ROM_REGION( 0x008000, "spg290", ROMREGION_32BIT | ROMREGION_LE )
ROM_LOAD32_DWORD("internal.rom", 0x000000, 0x008000, NO_DUMP)
ROM_END
diff --git a/src/mame/drivers/spg2xx.cpp b/src/mame/drivers/spg2xx.cpp
index 8f3ec3b6814..da737f067dd 100644
--- a/src/mame/drivers/spg2xx.cpp
+++ b/src/mame/drivers/spg2xx.cpp
@@ -659,7 +659,7 @@ static INPUT_PORTS_START( fordrace )
PORT_BIT( 0x0fff, IP_ACTIVE_HIGH, IPT_CUSTOM ) PORT_CUSTOM_MEMBER(spg2xx_game_fordrace_state, wheel_r)
PORT_START("WHEEL_REAL")
- PORT_BIT(0x1fff, 0x0000, IPT_AD_STICK_X ) PORT_SENSITIVITY(100) PORT_KEYDELTA(100) PORT_MINMAX(0x00,0x1fff) PORT_NAME("Wheel")
+ PORT_BIT(0x1fff, 0x0000, IPT_AD_STICK_X ) PORT_SENSITIVITY(100) PORT_KEYDELTA(100) PORT_MINMAX(0x00,0x1fff) PORT_NAME("Wheel")
INPUT_PORTS_END
CUSTOM_INPUT_MEMBER(spg2xx_game_fordrace_state::wheel_r)
@@ -669,7 +669,7 @@ CUSTOM_INPUT_MEMBER(spg2xx_game_fordrace_state::wheel_r)
CUSTOM_INPUT_MEMBER(spg2xx_game_fordrace_state::wheel2_r)
{
-// return 0x0800;
+// return 0x0800;
uint16_t dat = ioport("WHEEL_REAL")->read();
return ((dat >> 1) ^ 0xfff) + (dat & 1);
@@ -1076,9 +1076,9 @@ void spg2xx_game_senspeed_state::senspeed(machine_config &config)
m_maincpu->portb_out().set(FUNC(spg2xx_game_senspeed_state::portb_w));
/*
- ATMLH806
- 02B 1
- A7J4565E
+ ATMLH806
+ 02B 1
+ A7J4565E
*/
I2C_24C01(config, "i2cmem", 0); // saves 0x80 bytes, but loading fails?
}
diff --git a/src/mame/drivers/spg2xx_lexibook.cpp b/src/mame/drivers/spg2xx_lexibook.cpp
index 1ac7783b176..0eb1c727daf 100644
--- a/src/mame/drivers/spg2xx_lexibook.cpp
+++ b/src/mame/drivers/spg2xx_lexibook.cpp
@@ -289,7 +289,7 @@ void spg2xx_vsplus_game_state::vsplus(machine_config &config)
m_maincpu->porta_in().set_ioport("P1");
m_maincpu->portb_in().set_ioport("P2");
m_maincpu->portc_in().set_ioport("P3");
-
+
// output was PAL when connected to TV at least
m_maincpu->set_pal(true);
m_screen->set_refresh_hz(50);
diff --git a/src/mame/drivers/spg2xx_zone_32bit.cpp b/src/mame/drivers/spg2xx_zone_32bit.cpp
index 966ae5f82cf..d03f3641ae6 100644
--- a/src/mame/drivers/spg2xx_zone_32bit.cpp
+++ b/src/mame/drivers/spg2xx_zone_32bit.cpp
@@ -811,7 +811,7 @@ ROM_START( m505neo )
ROM_END
ROM_START( m521neo )
- ROM_REGION( 0x8000000, "maincpu", ROMREGION_ERASE00 ) // was this dumped with some address lines swapped?
+ ROM_REGION( 0x8000000, "maincpu", ROMREGION_ERASE00 ) // was this dumped with some address lines swapped?
ROM_LOAD16_WORD_SWAP( "6gu-1cd-a.u2", 0x0000000, 0x800000, CRC(7cb31b4c) SHA1(8de44756747a292c5d39bd491048d6fac4219953) )
ROM_CONTINUE(0x01000000, 0x800000)
ROM_CONTINUE(0x00800000, 0x800000)
@@ -884,16 +884,16 @@ void oplayer_100in1_state::init_m505neo()
ROM[i] = bitswap<16>(ROM[i],
11, 3, 10, 2,
9, 1, 8, 0,
-
+
4, 12, 5, 13,
- 6, 14, 7, 15
+ 6, 14, 7, 15
);
}
// TODO: remove these hacks
// port a checks when starting the system
- ROM[0x43c30 + (0x2000000 / 2)] = 0xf165; // boot main bank
+ ROM[0x43c30 + (0x2000000 / 2)] = 0xf165; // boot main bank
}
diff --git a/src/mame/drivers/taito_f3.cpp b/src/mame/drivers/taito_f3.cpp
index 6341185783e..ff1450cc934 100644
--- a/src/mame/drivers/taito_f3.cpp
+++ b/src/mame/drivers/taito_f3.cpp
@@ -3465,7 +3465,7 @@ ROM_START( cleopatro )
ROM_LOAD32_BYTE("ic20.bin", 0x000000, 0x20000, CRC(80dfc893) SHA1(192e121394825ba982998e831108310c26718ec3) )
ROM_LOAD32_BYTE("ic19.bin", 0x000001, 0x20000, CRC(666e8ca6) SHA1(4f75e4510975c04b66b0eeb1a861c47a3442b190) )
ROM_LOAD32_BYTE("ic18.bin", 0x000002, 0x20000, CRC(9f2d4f69) SHA1(481e7a525e749deb069adf92d335d1c89497f9ab) )
- ROM_LOAD32_BYTE("ic17.bin", 0x000003, 0x20000, CRC(f892f60e) SHA1(60f1a6c6e780adfbd17892c783920ae88266f0e2) ) // 1 byte difference in 1st 0x20000 bytes of e28-07.bin (0x1FFFF==03 vs 0x00 for e28-07.bin)
+ ROM_LOAD32_BYTE("ic17.bin", 0x000003, 0x20000, CRC(f892f60e) SHA1(60f1a6c6e780adfbd17892c783920ae88266f0e2) ) // 1 byte difference in 1st 0x20000 bytes of e28-07.bin (0x1FFFF==03 vs 0x00 for e28-07.bin)
ROM_REGION( 0x100000, "sprites" , 0) /* Sprites */
ROM_LOAD16_BYTE("e28-02.bin", 0x000000, 0x080000, CRC(b20d47cb) SHA1(6888e5564688840fed1c123ab38467066cd59c7f) )
diff --git a/src/mame/drivers/taitowlf.cpp b/src/mame/drivers/taitowlf.cpp
index e98ab104e1a..dc57211b3d3 100644
--- a/src/mame/drivers/taitowlf.cpp
+++ b/src/mame/drivers/taitowlf.cpp
@@ -42,7 +42,7 @@ Taito W Rom Board:
-Program, Sound roms
TODO:
-- program ROM is read via parallel port (for offset write, encrypted) and game port!?
+- program ROM is read via parallel port (for offset write, encrypted) and game port!?
- Emulation of the entire Taito Wolf main board which plugs into the PC motherboard's only PCI slot.
- PCI comms between both boards have yet to be understood.
diff --git a/src/mame/drivers/tiki100.cpp b/src/mame/drivers/tiki100.cpp
index 9ddd46b6df3..c9dce4cdd35 100644
--- a/src/mame/drivers/tiki100.cpp
+++ b/src/mame/drivers/tiki100.cpp
@@ -196,7 +196,7 @@ uint8_t tiki100_state::keyboard_r()
}
m_keylatch++;
- if (m_keylatch == 16) m_keylatch = 0; // Column selected by a 4-bit counter
+ if (m_keylatch == 16) m_keylatch = 0; // Column selected by a 4-bit counter
return data;
}
diff --git a/src/mame/drivers/ultim809.cpp b/src/mame/drivers/ultim809.cpp
index 75c60ee9e5b..38ca62a6179 100644
--- a/src/mame/drivers/ultim809.cpp
+++ b/src/mame/drivers/ultim809.cpp
@@ -80,7 +80,7 @@ void ultim809_state::mem_map(address_map &map)
map(0x0000, 0x7fff).lrw8(NAME([this] (offs_t offset) { return m_ram[offset]; }), NAME([this] (offs_t offset, u8 data) { m_ram[offset] = data; } ));
// main ram any bank
map(0x8000, 0xbfff).lrw8(NAME([this] (offs_t offset) { return m_ram[offset | (m_membank << 14)]; }),
- NAME([this] (offs_t offset, u8 data) { m_ram[offset | (m_membank << 14)] = data; } )); // u8
+ NAME([this] (offs_t offset, u8 data) { m_ram[offset | (m_membank << 14)] = data; } )); // u8
// devices
map(0xc000, 0xc00f).m(m_via, FUNC(via6522_device::map)); // u11
map(0xc400, 0xc407).rw(m_uart, FUNC(ns16550_device::ins8250_r), FUNC(ns16550_device::ins8250_w)); // u16
diff --git a/src/mame/drivers/vegas.cpp b/src/mame/drivers/vegas.cpp
index ac37e0b5643..f641b79d9ba 100644
--- a/src/mame/drivers/vegas.cpp
+++ b/src/mame/drivers/vegas.cpp
@@ -1274,7 +1274,7 @@ static INPUT_PORTS_START( vegas_4p )
PORT_MODIFY("SYSTEM")
PORT_BIT( 0x0200, IP_ACTIVE_LOW, IPT_START3 )
PORT_BIT( 0x0400, IP_ACTIVE_LOW, IPT_START4 )
-
+
PORT_MODIFY("IN2")
PORT_BIT( 0x0001, IP_ACTIVE_LOW, IPT_JOYSTICK_UP ) PORT_PLAYER(3) PORT_8WAY
PORT_BIT( 0x0002, IP_ACTIVE_LOW, IPT_JOYSTICK_DOWN ) PORT_PLAYER(3) PORT_8WAY
@@ -1292,12 +1292,12 @@ static INPUT_PORTS_START( vegas_4p )
PORT_BIT( 0x2000, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(4)
PORT_BIT( 0x4000, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(4)
PORT_BIT( 0x8000, IP_ACTIVE_LOW, IPT_UNUSED )
-
+
INPUT_PORTS_END
static INPUT_PORTS_START( vegas_analog )
PORT_INCLUDE(vegas_common)
-
+
PORT_MODIFY("SYSTEM")
PORT_BIT( 0x0004, IP_ACTIVE_LOW, IPT_START1 ) PORT_NAME("Start Button")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_UNUSED )
@@ -1341,7 +1341,7 @@ static INPUT_PORTS_START( gauntleg )
PORT_DIPSETTING( 0xc000, "Standard Res 512x256" )
PORT_DIPSETTING( 0x4000, "Medium Res 512x384" )
PORT_DIPSETTING( 0x0000, "VGA Res 640x480" ) //VGA res not supported for gauntleg
-
+
PORT_MODIFY("IN1")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(1) PORT_NAME("P1 Fight")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(1) PORT_NAME("P1 Magic")
@@ -1350,7 +1350,7 @@ static INPUT_PORTS_START( gauntleg )
PORT_BIT( 0x2000, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(2) PORT_NAME("P2 Magic")
PORT_BIT( 0x4000, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(2) PORT_NAME("P2 Fight")
- PORT_MODIFY("IN2")
+ PORT_MODIFY("IN2")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(3) PORT_NAME("P3 Fight")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(3) PORT_NAME("P3 Magic")
PORT_BIT( 0x0040, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(3) PORT_NAME("P3 Turbo")
@@ -1371,10 +1371,10 @@ static INPUT_PORTS_START( tenthdeg )
PORT_DIPSETTING( 0xc000, "Standard Res 512x256" )
PORT_DIPSETTING( 0x4000, "Medium Res 512x384" )
PORT_DIPSETTING( 0x0000, "VGA Res 640x480" ) //VGA res not supported for this game
-
+
PORT_MODIFY("SYSTEM")
PORT_BIT( 0x0200, IP_ACTIVE_LOW, IPT_BUTTON7 ) PORT_PLAYER(1) PORT_NAME("P1 Counter")
-
+
PORT_MODIFY("IN1")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(1) PORT_NAME("P1 Jab")
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(1) PORT_NAME("P1 Strong")
@@ -1716,7 +1716,7 @@ void vegas_state::vegas_cs2_map(address_map &map)
void vegas_state::vegas_cs3_map(address_map &map)
{
map(0x00000000, 0x00000003).rw(FUNC(vegas_state::analog_port_r), FUNC(vegas_state::analog_port_w));
-// map(0x00001000, 0x00001003).rw(FUNC(vegas_state::lcd_r), FUNC(vegas_state::lcd_w));
+// map(0x00001000, 0x00001003).rw(FUNC(vegas_state::lcd_r), FUNC(vegas_state::lcd_w));
}
void vegas_state::vegas_cs4_map(address_map &map)
@@ -1741,7 +1741,7 @@ void vegas_state::vegas_cs6_map(address_map &map)
void vegas_state::vegas_cs7_map(address_map &map)
{
-// map(0x00000000, 0x00000003).rw(FUNC(vegas_state::nss_r), FUNC(vegas_state::nss_w));
+// map(0x00000000, 0x00000003).rw(FUNC(vegas_state::nss_r), FUNC(vegas_state::nss_w));
map(0x00001000, 0x0000100f).rw(FUNC(vegas_state::ethernet_r), FUNC(vegas_state::ethernet_w));
map(0x00005000, 0x00005003).w(m_dcs, FUNC(dcs_audio_device::dsio_idma_addr_w)); // if (m_dcs_idma_cs == 7)
map(0x00007000, 0x00007003).rw(m_dcs, FUNC(dcs_audio_device::dsio_idma_data_r), FUNC(dcs_audio_device::dsio_idma_data_w)); // if (m_dcs_idma_cs == 7)
diff --git a/src/mame/drivers/wpc_an.cpp b/src/mame/drivers/wpc_an.cpp
index f9fdbf8260b..8e33acba64d 100644
--- a/src/mame/drivers/wpc_an.cpp
+++ b/src/mame/drivers/wpc_an.cpp
@@ -3,18 +3,18 @@
/*
Williams WPC (Alpha Numeric)
- Note: It is possible to get funhouse (fh_l3 at least) in-game by coining it up, then holding the following keys,
- and pressing the start button, or else you get "PINBALL MISSING":
- W (right ball shooter, c6 r2)
- E (right trough, c6 r3)
- [ (dummy jaw opto, c5 r1)
- ] (right outlane, c5 r2)
- \ (right slingshot kicker, c5 r3)
- It's possible not all of these are strictly necessary to make it work.
-
- TODO: replace the 8x8 pinball input matrix keymap by some sort of common interface for the williams system 6, 9, 10, 11 and wpc_an;
- while the actual purpose of the switches differ per machine (and some machines like wpc_an have one switch permanently closed as a test switch),
- the entire matrix should be mapped to keyboard keys, there are more than enough keys on a 104 key keyboard to do it, even avoiding MAME's reserved keys.
+ Note: It is possible to get funhouse (fh_l3 at least) in-game by coining it up, then holding the following keys,
+ and pressing the start button, or else you get "PINBALL MISSING":
+ W (right ball shooter, c6 r2)
+ E (right trough, c6 r3)
+ [ (dummy jaw opto, c5 r1)
+ ] (right outlane, c5 r2)
+ \ (right slingshot kicker, c5 r3)
+ It's possible not all of these are strictly necessary to make it work.
+
+ TODO: replace the 8x8 pinball input matrix keymap by some sort of common interface for the williams system 6, 9, 10, 11 and wpc_an;
+ while the actual purpose of the switches differ per machine (and some machines like wpc_an have one switch permanently closed as a test switch),
+ the entire matrix should be mapped to keyboard keys, there are more than enough keys on a 104 key keyboard to do it, even avoiding MAME's reserved keys.
*/
diff --git a/src/mame/includes/cischeat.h b/src/mame/includes/cischeat.h
index 105f4b39b7d..ad2e1a54047 100644
--- a/src/mame/includes/cischeat.h
+++ b/src/mame/includes/cischeat.h
@@ -169,7 +169,7 @@ public:
void motor_command_w(offs_t offset, uint16_t data, uint16_t mem_mask = ~0);
uint8_t analog_r();
void output_w(offs_t offset, uint16_t data, uint16_t mem_mask = ~0);
-
+
void armchmp2(machine_config &config);
void armchmp2_map(address_map &map);
TIMER_DEVICE_CALLBACK_MEMBER(armchamp2_scanline);
diff --git a/src/mame/includes/pdp11.h b/src/mame/includes/pdp11.h
index a5170f45674..9c2c15e7e94 100644
--- a/src/mame/includes/pdp11.h
+++ b/src/mame/includes/pdp11.h
@@ -27,10 +27,10 @@
#define CSR_ERR (1u << CSR_V_ERR)
-#define clear_virq(_callback, _csr, _ie, _intrq) \
+#define clear_virq(_callback, _csr, _ie, _intrq) \
if ((_csr) & (_ie)) { (_intrq) = CLEAR_LINE; }
-#define raise_virq(_callback, _csr, _ie, _intrq) \
+#define raise_virq(_callback, _csr, _ie, _intrq) \
if ((_csr) & (_ie)) { (_intrq) = ASSERT_LINE; _callback (ASSERT_LINE); }
diff --git a/src/mame/machine/cdicdic.cpp b/src/mame/machine/cdicdic.cpp
index 690899815f5..4eb6cd44dac 100644
--- a/src/mame/machine/cdicdic.cpp
+++ b/src/mame/machine/cdicdic.cpp
@@ -36,8 +36,8 @@ TODO:
#define LOG_READS (1 << 6)
#define LOG_WRITES (1 << 7)
#define LOG_UNKNOWNS (1 << 8)
-#define LOG_RAM (1 << 9)
-#define LOG_ALL (LOG_DECODES | LOG_SAMPLES | LOG_COMMANDS | LOG_SECTORS | LOG_IRQS | LOG_READS | LOG_WRITES | LOG_UNKNOWNS | LOG_RAM)
+#define LOG_RAM (1 << 9)
+#define LOG_ALL (LOG_DECODES | LOG_SAMPLES | LOG_COMMANDS | LOG_SECTORS | LOG_IRQS | LOG_READS | LOG_WRITES | LOG_UNKNOWNS | LOG_RAM)
#define VERBOSE (LOG_ALL)
#include "logmacro.h"
@@ -751,9 +751,9 @@ void cdicdic_device::process_delayed_command()
m_intreq_callback(ASSERT_LINE);
//else
//{
- // LOGMASKED(LOG_IRQS, "%s: Setting CDIC interrupt line for ignored audio sector (m_channel %04x, m_audio_channel %04x, sector channel %04x\n",
- // machine().describe_context(), m_channel, m_audio_channel, (1 << buffer[CDIC_SECTOR_CHAN2]));
- // m_intreq_callback(ASSERT_LINE);
+ // LOGMASKED(LOG_IRQS, "%s: Setting CDIC interrupt line for ignored audio sector (m_channel %04x, m_audio_channel %04x, sector channel %04x\n",
+ // machine().describe_context(), m_channel, m_audio_channel, (1 << buffer[CDIC_SECTOR_CHAN2]));
+ // m_intreq_callback(ASSERT_LINE);
//}
}
else if ((buffer[CDIC_SECTOR_SUBMODE2] & (CDIC_SUBMODE_DATA | CDIC_SUBMODE_AUDIO | CDIC_SUBMODE_VIDEO)) == 0x00)
diff --git a/src/mame/machine/cdislavehle.cpp b/src/mame/machine/cdislavehle.cpp
index ef7d5be3030..c8e01d67a10 100644
--- a/src/mame/machine/cdislavehle.cpp
+++ b/src/mame/machine/cdislavehle.cpp
@@ -23,7 +23,7 @@ TODO:
#define LOG_READS (1 << 2)
#define LOG_WRITES (1 << 3)
#define LOG_UNKNOWNS (1 << 4)
-#define LOG_ALL (LOG_IRQS | LOG_COMMANDS | LOG_READS | LOG_WRITES | LOG_UNKNOWNS)
+#define LOG_ALL (LOG_IRQS | LOG_COMMANDS | LOG_READS | LOG_WRITES | LOG_UNKNOWNS)
#define VERBOSE (0)
#include "logmacro.h"
diff --git a/src/mame/machine/fp6000_kbd.cpp b/src/mame/machine/fp6000_kbd.cpp
index 128a7b75a8c..3cd8f5d7b36 100644
--- a/src/mame/machine/fp6000_kbd.cpp
+++ b/src/mame/machine/fp6000_kbd.cpp
@@ -25,113 +25,113 @@ DEFINE_DEVICE_TYPE(FP6000_KBD, fp6000_kbd_device, "fp6000_kbd", "FP-6000 Keyboar
static INPUT_PORTS_START( keyboard )
PORT_START("row_0")
PORT_BIT(0x0001, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 00 */ PORT_CODE(KEYCODE_PRTSCR) PORT_CHAR(UCHAR_MAMEKEY(PRTSCR)) PORT_NAME("Copy")
- PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 01 */ PORT_CODE(KEYCODE_F1) PORT_CHAR(UCHAR_MAMEKEY(F1))
- PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 02 */ PORT_CODE(KEYCODE_F2) PORT_CHAR(UCHAR_MAMEKEY(F2))
- PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 03 */ PORT_CODE(KEYCODE_F3) PORT_CHAR(UCHAR_MAMEKEY(F3))
- PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 04 */ PORT_CODE(KEYCODE_F4) PORT_CHAR(UCHAR_MAMEKEY(F4))
- PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 05 */ PORT_CODE(KEYCODE_F5) PORT_CHAR(UCHAR_MAMEKEY(F5))
- PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 06 */ PORT_CODE(KEYCODE_F6) PORT_CHAR(UCHAR_MAMEKEY(F6))
- PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 07 */ PORT_CODE(KEYCODE_F7) PORT_CHAR(UCHAR_MAMEKEY(F7))
- PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 08 */ PORT_CODE(KEYCODE_F8) PORT_CHAR(UCHAR_MAMEKEY(F8))
- PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 09 */ PORT_CODE(KEYCODE_F9) PORT_CHAR(UCHAR_MAMEKEY(F9))
- PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0a */ PORT_CODE(KEYCODE_F10) PORT_CHAR(UCHAR_MAMEKEY(F10))
- PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0b */ PORT_CODE(KEYCODE_F11) PORT_CHAR(UCHAR_MAMEKEY(F11))
- PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0c */ PORT_CODE(KEYCODE_INSERT) PORT_CHAR(UCHAR_MAMEKEY(INSERT)) PORT_NAME("Ins")
- PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0d */ PORT_CODE(KEYCODE_DEL) PORT_CHAR(UCHAR_MAMEKEY(DEL)) PORT_NAME("Del")
- PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0e */ PORT_CODE(KEYCODE_HOME) PORT_CHAR(0) PORT_CHAR(UCHAR_MAMEKEY(HOME)) PORT_NAME("Cls / Home")
- PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0f */ PORT_CODE(KEYCODE_SCRLOCK) PORT_CHAR(UCHAR_MAMEKEY(SCRLOCK)) PORT_NAME("SLock / Break")
+ PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 01 */ PORT_CODE(KEYCODE_F1) PORT_CHAR(UCHAR_MAMEKEY(F1))
+ PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 02 */ PORT_CODE(KEYCODE_F2) PORT_CHAR(UCHAR_MAMEKEY(F2))
+ PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 03 */ PORT_CODE(KEYCODE_F3) PORT_CHAR(UCHAR_MAMEKEY(F3))
+ PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 04 */ PORT_CODE(KEYCODE_F4) PORT_CHAR(UCHAR_MAMEKEY(F4))
+ PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 05 */ PORT_CODE(KEYCODE_F5) PORT_CHAR(UCHAR_MAMEKEY(F5))
+ PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 06 */ PORT_CODE(KEYCODE_F6) PORT_CHAR(UCHAR_MAMEKEY(F6))
+ PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 07 */ PORT_CODE(KEYCODE_F7) PORT_CHAR(UCHAR_MAMEKEY(F7))
+ PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 08 */ PORT_CODE(KEYCODE_F8) PORT_CHAR(UCHAR_MAMEKEY(F8))
+ PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 09 */ PORT_CODE(KEYCODE_F9) PORT_CHAR(UCHAR_MAMEKEY(F9))
+ PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0a */ PORT_CODE(KEYCODE_F10) PORT_CHAR(UCHAR_MAMEKEY(F10))
+ PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0b */ PORT_CODE(KEYCODE_F11) PORT_CHAR(UCHAR_MAMEKEY(F11))
+ PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0c */ PORT_CODE(KEYCODE_INSERT) PORT_CHAR(UCHAR_MAMEKEY(INSERT)) PORT_NAME("Ins")
+ PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0d */ PORT_CODE(KEYCODE_DEL) PORT_CHAR(UCHAR_MAMEKEY(DEL)) PORT_NAME("Del")
+ PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0e */ PORT_CODE(KEYCODE_HOME) PORT_CHAR(0) PORT_CHAR(UCHAR_MAMEKEY(HOME)) PORT_NAME("Cls / Home")
+ PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 0f */ PORT_CODE(KEYCODE_SCRLOCK) PORT_CHAR(UCHAR_MAMEKEY(SCRLOCK)) PORT_NAME("SLock / Break")
PORT_START("row_1")
PORT_BIT(0x0001, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 10 */ PORT_CODE(KEYCODE_1) PORT_CHAR('1') PORT_CHAR('!')
- PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 11 */ PORT_CODE(KEYCODE_3) PORT_CHAR('3') PORT_CHAR('#')
- PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 12 */ PORT_CODE(KEYCODE_4) PORT_CHAR('4') PORT_CHAR('$')
- PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 13 */ PORT_CODE(KEYCODE_5) PORT_CHAR('5') PORT_CHAR('%')
- PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 14 */ PORT_CODE(KEYCODE_6) PORT_CHAR('6') PORT_CHAR('&')
- PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 15 */ PORT_CODE(KEYCODE_7) PORT_CHAR('7') PORT_CHAR('\'')
- PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 16 */ PORT_CODE(KEYCODE_8) PORT_CHAR('8') PORT_CHAR('(')
- PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 17 */ PORT_CODE(KEYCODE_0) PORT_CHAR('0')
- PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 18 */ PORT_CODE(KEYCODE_MINUS) PORT_CHAR('-') PORT_CHAR('=')
- PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 19 */ PORT_CODE(KEYCODE_TILDE) PORT_CHAR('^') PORT_CHAR('~')
- PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1a */ PORT_CODE(KEYCODE_BACKSPACE) PORT_CHAR(8)
- PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1b */ PORT_CODE(KEYCODE_F12) PORT_CHAR(UCHAR_MAMEKEY(F12))
- PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1c */ PORT_CODE(KEYCODE_UP) PORT_CHAR(UCHAR_MAMEKEY(UP)) PORT_CHAR(UCHAR_MAMEKEY(PGUP)) PORT_NAME("\xe2\x86\x91 PgUp")
- PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1d */ PORT_CODE(KEYCODE_RIGHT) PORT_CHAR(UCHAR_MAMEKEY(RIGHT)) PORT_NAME("\xe2\x86\x92 PgRt")
- PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1e */ PORT_CODE(KEYCODE_END) PORT_CHAR(0) PORT_CHAR(UCHAR_MAMEKEY(END)) PORT_NAME("Clr / End")
- PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1f */ PORT_CODE(KEYCODE_ESC) PORT_CHAR(UCHAR_MAMEKEY(ESC))
+ PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 11 */ PORT_CODE(KEYCODE_3) PORT_CHAR('3') PORT_CHAR('#')
+ PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 12 */ PORT_CODE(KEYCODE_4) PORT_CHAR('4') PORT_CHAR('$')
+ PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 13 */ PORT_CODE(KEYCODE_5) PORT_CHAR('5') PORT_CHAR('%')
+ PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 14 */ PORT_CODE(KEYCODE_6) PORT_CHAR('6') PORT_CHAR('&')
+ PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 15 */ PORT_CODE(KEYCODE_7) PORT_CHAR('7') PORT_CHAR('\'')
+ PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 16 */ PORT_CODE(KEYCODE_8) PORT_CHAR('8') PORT_CHAR('(')
+ PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 17 */ PORT_CODE(KEYCODE_0) PORT_CHAR('0')
+ PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 18 */ PORT_CODE(KEYCODE_MINUS) PORT_CHAR('-') PORT_CHAR('=')
+ PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 19 */ PORT_CODE(KEYCODE_TILDE) PORT_CHAR('^') PORT_CHAR('~')
+ PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1a */ PORT_CODE(KEYCODE_BACKSPACE) PORT_CHAR(8)
+ PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1b */ PORT_CODE(KEYCODE_F12) PORT_CHAR(UCHAR_MAMEKEY(F12))
+ PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1c */ PORT_CODE(KEYCODE_UP) PORT_CHAR(UCHAR_MAMEKEY(UP)) PORT_CHAR(UCHAR_MAMEKEY(PGUP)) PORT_NAME("\xe2\x86\x91 PgUp")
+ PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1d */ PORT_CODE(KEYCODE_RIGHT) PORT_CHAR(UCHAR_MAMEKEY(RIGHT)) PORT_NAME("\xe2\x86\x92 PgRt")
+ PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1e */ PORT_CODE(KEYCODE_END) PORT_CHAR(0) PORT_CHAR(UCHAR_MAMEKEY(END)) PORT_NAME("Clr / End")
+ PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 1f */ PORT_CODE(KEYCODE_ESC) PORT_CHAR(UCHAR_MAMEKEY(ESC))
PORT_START("row_2")
PORT_BIT(0x0001, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 20 */ PORT_CODE(KEYCODE_2) PORT_CHAR('2') PORT_CHAR('"')
- PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 21 */ PORT_CODE(KEYCODE_E) PORT_CHAR('e') PORT_CHAR('E')
- PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 22 */ PORT_CODE(KEYCODE_R) PORT_CHAR('r') PORT_CHAR('R')
- PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 23 */ PORT_CODE(KEYCODE_T) PORT_CHAR('t') PORT_CHAR('T')
- PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 24 */ PORT_CODE(KEYCODE_Y) PORT_CHAR('y') PORT_CHAR('Y')
- PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 25 */ PORT_CODE(KEYCODE_U) PORT_CHAR('u') PORT_CHAR('U')
- PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 26 */ PORT_CODE(KEYCODE_9) PORT_CHAR('9') PORT_CHAR(')')
- PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 27 */ PORT_CODE(KEYCODE_P) PORT_CHAR('p') PORT_CHAR('P')
- PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 28 */ PORT_CODE(KEYCODE_EQUALS) PORT_CHAR('@') PORT_CHAR('`')
- PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 29 */ PORT_CODE(KEYCODE_BACKSLASH) PORT_CHAR('\\') PORT_CHAR('|') PORT_NAME("\xC2\xA5 |") // ¥
- PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2a */ PORT_CODE(KEYCODE_ENTER) PORT_CHAR(13)
- PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2b */ PORT_CODE(KEYCODE_LEFT) PORT_CHAR(UCHAR_MAMEKEY(LEFT)) PORT_NAME("\xe2\x86\x90 PgLt")
- PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2c */ PORT_CODE(KEYCODE_DOWN) PORT_CHAR(UCHAR_MAMEKEY(DOWN)) PORT_CHAR(UCHAR_MAMEKEY(PGDN)) PORT_NAME("\xe2\x86\x93 PgDn")
- PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2d */ PORT_CODE(KEYCODE_9_PAD) PORT_CHAR(UCHAR_MAMEKEY(9_PAD))
- PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2e */ PORT_CODE(KEYCODE_MINUS_PAD) PORT_CHAR(UCHAR_MAMEKEY(MINUS_PAD))
- PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2f */ PORT_CODE(KEYCODE_TAB) PORT_CHAR(9)
+ PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 21 */ PORT_CODE(KEYCODE_E) PORT_CHAR('e') PORT_CHAR('E')
+ PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 22 */ PORT_CODE(KEYCODE_R) PORT_CHAR('r') PORT_CHAR('R')
+ PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 23 */ PORT_CODE(KEYCODE_T) PORT_CHAR('t') PORT_CHAR('T')
+ PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 24 */ PORT_CODE(KEYCODE_Y) PORT_CHAR('y') PORT_CHAR('Y')
+ PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 25 */ PORT_CODE(KEYCODE_U) PORT_CHAR('u') PORT_CHAR('U')
+ PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 26 */ PORT_CODE(KEYCODE_9) PORT_CHAR('9') PORT_CHAR(')')
+ PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 27 */ PORT_CODE(KEYCODE_P) PORT_CHAR('p') PORT_CHAR('P')
+ PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 28 */ PORT_CODE(KEYCODE_EQUALS) PORT_CHAR('@') PORT_CHAR('`')
+ PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 29 */ PORT_CODE(KEYCODE_BACKSLASH) PORT_CHAR('\\') PORT_CHAR('|') PORT_NAME("\xC2\xA5 |") // ¥
+ PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2a */ PORT_CODE(KEYCODE_ENTER) PORT_CHAR(13)
+ PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2b */ PORT_CODE(KEYCODE_LEFT) PORT_CHAR(UCHAR_MAMEKEY(LEFT)) PORT_NAME("\xe2\x86\x90 PgLt")
+ PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2c */ PORT_CODE(KEYCODE_DOWN) PORT_CHAR(UCHAR_MAMEKEY(DOWN)) PORT_CHAR(UCHAR_MAMEKEY(PGDN)) PORT_NAME("\xe2\x86\x93 PgDn")
+ PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2d */ PORT_CODE(KEYCODE_9_PAD) PORT_CHAR(UCHAR_MAMEKEY(9_PAD))
+ PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2e */ PORT_CODE(KEYCODE_MINUS_PAD) PORT_CHAR(UCHAR_MAMEKEY(MINUS_PAD))
+ PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 2f */ PORT_CODE(KEYCODE_TAB) PORT_CHAR(9)
PORT_START("row_3")
PORT_BIT(0x0001, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 30 */ PORT_CODE(KEYCODE_Q) PORT_CHAR('q') PORT_CHAR('Q')
- PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 31 */ PORT_CODE(KEYCODE_W) PORT_CHAR('w') PORT_CHAR('W')
- PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 32 */ PORT_CODE(KEYCODE_F) PORT_CHAR('f') PORT_CHAR('F')
- PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 33 */ PORT_CODE(KEYCODE_G) PORT_CHAR('g') PORT_CHAR('G')
- PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 34 */ PORT_CODE(KEYCODE_H) PORT_CHAR('h') PORT_CHAR('H')
- PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 35 */ PORT_CODE(KEYCODE_J) PORT_CHAR('j') PORT_CHAR('J')
- PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 36 */ PORT_CODE(KEYCODE_I) PORT_CHAR('i') PORT_CHAR('I')
- PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 37 */ PORT_CODE(KEYCODE_O) PORT_CHAR('o') PORT_CHAR('O')
- PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 38 */ PORT_CODE(KEYCODE_COLON) PORT_CHAR(':') PORT_CHAR('*')
- PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 39 */ PORT_CODE(KEYCODE_OPENBRACE) PORT_CHAR('[') PORT_CHAR('{')
- PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_UNUSED) /* 3a */ // ?
- PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3b */ PORT_CODE(KEYCODE_7_PAD) PORT_CHAR(UCHAR_MAMEKEY(7_PAD))
- PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3c */ PORT_CODE(KEYCODE_8_PAD) PORT_CHAR(UCHAR_MAMEKEY(8_PAD))
- PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3d */ PORT_CODE(KEYCODE_6_PAD) PORT_CHAR(UCHAR_MAMEKEY(6_PAD))
- PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_UNUSED) /* 3e */ // ?
- PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3f */ PORT_CODE(KEYCODE_LCONTROL) PORT_CHAR(UCHAR_MAMEKEY(LCONTROL)) PORT_NAME("Ctrl")
+ PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 31 */ PORT_CODE(KEYCODE_W) PORT_CHAR('w') PORT_CHAR('W')
+ PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 32 */ PORT_CODE(KEYCODE_F) PORT_CHAR('f') PORT_CHAR('F')
+ PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 33 */ PORT_CODE(KEYCODE_G) PORT_CHAR('g') PORT_CHAR('G')
+ PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 34 */ PORT_CODE(KEYCODE_H) PORT_CHAR('h') PORT_CHAR('H')
+ PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 35 */ PORT_CODE(KEYCODE_J) PORT_CHAR('j') PORT_CHAR('J')
+ PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 36 */ PORT_CODE(KEYCODE_I) PORT_CHAR('i') PORT_CHAR('I')
+ PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 37 */ PORT_CODE(KEYCODE_O) PORT_CHAR('o') PORT_CHAR('O')
+ PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 38 */ PORT_CODE(KEYCODE_COLON) PORT_CHAR(':') PORT_CHAR('*')
+ PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 39 */ PORT_CODE(KEYCODE_OPENBRACE) PORT_CHAR('[') PORT_CHAR('{')
+ PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_UNUSED) /* 3a */ // ?
+ PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3b */ PORT_CODE(KEYCODE_7_PAD) PORT_CHAR(UCHAR_MAMEKEY(7_PAD))
+ PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3c */ PORT_CODE(KEYCODE_8_PAD) PORT_CHAR(UCHAR_MAMEKEY(8_PAD))
+ PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3d */ PORT_CODE(KEYCODE_6_PAD) PORT_CHAR(UCHAR_MAMEKEY(6_PAD))
+ PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_UNUSED) /* 3e */ // ?
+ PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 3f */ PORT_CODE(KEYCODE_LCONTROL) PORT_CHAR(UCHAR_MAMEKEY(LCONTROL)) PORT_NAME("Ctrl")
PORT_START("row_4")
PORT_BIT(0x0001, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 40 */ PORT_CODE(KEYCODE_A) PORT_CHAR('a') PORT_CHAR('A')
- PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 41 */ PORT_CODE(KEYCODE_S) PORT_CHAR('s') PORT_CHAR('S')
- PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 42 */ PORT_CODE(KEYCODE_D) PORT_CHAR('d') PORT_CHAR('D')
- PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 43 */ PORT_CODE(KEYCODE_V) PORT_CHAR('v') PORT_CHAR('V')
- PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 44 */ PORT_CODE(KEYCODE_B) PORT_CHAR('b') PORT_CHAR('B')
- PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 45 */ PORT_CODE(KEYCODE_M) PORT_CHAR('m') PORT_CHAR('M')
- PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 46 */ PORT_CODE(KEYCODE_K) PORT_CHAR('k') PORT_CHAR('K')
- PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 47 */ PORT_CODE(KEYCODE_L) PORT_CHAR('l') PORT_CHAR('L')
- PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 48 */ PORT_CODE(KEYCODE_QUOTE) PORT_CHAR(';') PORT_CHAR('+')
- PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 49 */ PORT_CODE(KEYCODE_CLOSEBRACE) PORT_CHAR(']') PORT_CHAR('}')
- PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_UNUSED) /* 4a */ // ?
- PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4b */ PORT_CODE(KEYCODE_4_PAD) PORT_CHAR(UCHAR_MAMEKEY(4_PAD))
- PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4c */ PORT_CODE(KEYCODE_5_PAD) PORT_CHAR(UCHAR_MAMEKEY(5_PAD))
- PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4d */ PORT_CODE(KEYCODE_3_PAD) PORT_CHAR(UCHAR_MAMEKEY(3_PAD))
- PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4e */ PORT_CODE(KEYCODE_ENTER_PAD) PORT_CHAR(UCHAR_MAMEKEY(ENTER_PAD))
- PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4f */ PORT_CODE(KEYCODE_LALT) PORT_CHAR(UCHAR_MAMEKEY(LALT)) PORT_NAME("Alt")
+ PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 41 */ PORT_CODE(KEYCODE_S) PORT_CHAR('s') PORT_CHAR('S')
+ PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 42 */ PORT_CODE(KEYCODE_D) PORT_CHAR('d') PORT_CHAR('D')
+ PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 43 */ PORT_CODE(KEYCODE_V) PORT_CHAR('v') PORT_CHAR('V')
+ PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 44 */ PORT_CODE(KEYCODE_B) PORT_CHAR('b') PORT_CHAR('B')
+ PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 45 */ PORT_CODE(KEYCODE_M) PORT_CHAR('m') PORT_CHAR('M')
+ PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 46 */ PORT_CODE(KEYCODE_K) PORT_CHAR('k') PORT_CHAR('K')
+ PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 47 */ PORT_CODE(KEYCODE_L) PORT_CHAR('l') PORT_CHAR('L')
+ PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 48 */ PORT_CODE(KEYCODE_QUOTE) PORT_CHAR(';') PORT_CHAR('+')
+ PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 49 */ PORT_CODE(KEYCODE_CLOSEBRACE) PORT_CHAR(']') PORT_CHAR('}')
+ PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_UNUSED) /* 4a */ // ?
+ PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4b */ PORT_CODE(KEYCODE_4_PAD) PORT_CHAR(UCHAR_MAMEKEY(4_PAD))
+ PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4c */ PORT_CODE(KEYCODE_5_PAD) PORT_CHAR(UCHAR_MAMEKEY(5_PAD))
+ PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4d */ PORT_CODE(KEYCODE_3_PAD) PORT_CHAR(UCHAR_MAMEKEY(3_PAD))
+ PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4e */ PORT_CODE(KEYCODE_ENTER_PAD) PORT_CHAR(UCHAR_MAMEKEY(ENTER_PAD))
+ PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 4f */ PORT_CODE(KEYCODE_LALT) PORT_CHAR(UCHAR_MAMEKEY(LALT)) PORT_NAME("Alt")
PORT_START("row_5")
PORT_BIT(0x0001, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 50 */ PORT_CODE(KEYCODE_LSHIFT) PORT_CODE(KEYCODE_RSHIFT) PORT_CHAR(UCHAR_SHIFT_1)
- PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 51 */ PORT_CODE(KEYCODE_Z) PORT_CHAR('z') PORT_CHAR('Z')
- PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 52 */ PORT_CODE(KEYCODE_X) PORT_CHAR('x') PORT_CHAR('X')
- PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 53 */ PORT_CODE(KEYCODE_C) PORT_CHAR('c') PORT_CHAR('C')
- PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 54 */ PORT_CODE(KEYCODE_SPACE) PORT_CHAR(' ')
- PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 55 */ PORT_CODE(KEYCODE_N) PORT_CHAR('n') PORT_CHAR('N')
- PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 56 */ PORT_CODE(KEYCODE_COMMA) PORT_CHAR(',') PORT_CHAR('<')
- PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 57 */ PORT_CODE(KEYCODE_STOP) PORT_CHAR('.') PORT_CHAR('>')
- PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 58 */ PORT_CODE(KEYCODE_SLASH) PORT_CHAR('/') PORT_CHAR('?')
- PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 59 */ PORT_CODE(KEYCODE_BACKSLASH2) PORT_CHAR(0) PORT_CHAR('_') PORT_NAME(" _")
- PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5a */ PORT_CODE(KEYCODE_RALT) PORT_CHAR(UCHAR_MAMEKEY(RALT)) PORT_NAME("Kana")
- PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5b */ PORT_CODE(KEYCODE_1_PAD) PORT_CHAR(UCHAR_MAMEKEY(1_PAD))
- PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5c */ PORT_CODE(KEYCODE_2_PAD) PORT_CHAR(UCHAR_MAMEKEY(2_PAD))
- PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5d */ PORT_CODE(KEYCODE_0_PAD) PORT_CHAR(UCHAR_MAMEKEY(0_PAD))
- PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5e */ PORT_CODE(KEYCODE_COMMA_PAD) PORT_CHAR(UCHAR_MAMEKEY(COMMA_PAD))
- PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5f */ PORT_CODE(KEYCODE_CAPSLOCK) PORT_CHAR(UCHAR_MAMEKEY(CAPSLOCK)) PORT_NAME("Caps")
-
- // codes 0x60 to 0x7f seem to be copies of other codes
+ PORT_BIT(0x0002, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 51 */ PORT_CODE(KEYCODE_Z) PORT_CHAR('z') PORT_CHAR('Z')
+ PORT_BIT(0x0004, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 52 */ PORT_CODE(KEYCODE_X) PORT_CHAR('x') PORT_CHAR('X')
+ PORT_BIT(0x0008, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 53 */ PORT_CODE(KEYCODE_C) PORT_CHAR('c') PORT_CHAR('C')
+ PORT_BIT(0x0010, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 54 */ PORT_CODE(KEYCODE_SPACE) PORT_CHAR(' ')
+ PORT_BIT(0x0020, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 55 */ PORT_CODE(KEYCODE_N) PORT_CHAR('n') PORT_CHAR('N')
+ PORT_BIT(0x0040, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 56 */ PORT_CODE(KEYCODE_COMMA) PORT_CHAR(',') PORT_CHAR('<')
+ PORT_BIT(0x0080, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 57 */ PORT_CODE(KEYCODE_STOP) PORT_CHAR('.') PORT_CHAR('>')
+ PORT_BIT(0x0100, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 58 */ PORT_CODE(KEYCODE_SLASH) PORT_CHAR('/') PORT_CHAR('?')
+ PORT_BIT(0x0200, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 59 */ PORT_CODE(KEYCODE_BACKSLASH2) PORT_CHAR(0) PORT_CHAR('_') PORT_NAME(" _")
+ PORT_BIT(0x0400, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5a */ PORT_CODE(KEYCODE_RALT) PORT_CHAR(UCHAR_MAMEKEY(RALT)) PORT_NAME("Kana")
+ PORT_BIT(0x0800, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5b */ PORT_CODE(KEYCODE_1_PAD) PORT_CHAR(UCHAR_MAMEKEY(1_PAD))
+ PORT_BIT(0x1000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5c */ PORT_CODE(KEYCODE_2_PAD) PORT_CHAR(UCHAR_MAMEKEY(2_PAD))
+ PORT_BIT(0x2000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5d */ PORT_CODE(KEYCODE_0_PAD) PORT_CHAR(UCHAR_MAMEKEY(0_PAD))
+ PORT_BIT(0x4000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5e */ PORT_CODE(KEYCODE_COMMA_PAD) PORT_CHAR(UCHAR_MAMEKEY(COMMA_PAD))
+ PORT_BIT(0x8000, IP_ACTIVE_HIGH, IPT_KEYBOARD) /* 5f */ PORT_CODE(KEYCODE_CAPSLOCK) PORT_CHAR(UCHAR_MAMEKEY(CAPSLOCK)) PORT_NAME("Caps")
+
+ // codes 0x60 to 0x7f seem to be copies of other codes
INPUT_PORTS_END
ioport_constructor fp6000_kbd_device::device_input_ports() const
@@ -150,8 +150,8 @@ ioport_constructor fp6000_kbd_device::device_input_ports() const
fp6000_kbd_device::fp6000_kbd_device(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock)
: device_t(mconfig, FP6000_KBD, tag, owner, clock),
- device_matrix_keyboard_interface(mconfig, *this, "row_0", "row_1", "row_2", "row_3", "row_4", "row_5"),
- m_int_handler(*this)
+ device_matrix_keyboard_interface(mconfig, *this, "row_0", "row_1", "row_2", "row_3", "row_4", "row_5"),
+ m_int_handler(*this)
{
}
@@ -180,8 +180,8 @@ void fp6000_kbd_device::device_reset()
start_processing(attotime::from_hz(9600));
typematic_stop();
- m_status = 0x00;
- m_data = 0x7f;
+ m_status = 0x00;
+ m_data = 0x7f;
}
//-------------------------------------------------
@@ -190,28 +190,28 @@ void fp6000_kbd_device::device_reset()
uint8_t fp6000_kbd_device::read(offs_t offset)
{
- uint8_t data = 0xff;
+ uint8_t data = 0xff;
- switch (offset)
- {
- case 0:
- if (0)
- logerror("Read data from keyboard: %02x\n", m_data);
+ switch (offset)
+ {
+ case 0:
+ if (0)
+ logerror("Read data from keyboard: %02x\n", m_data);
- m_int_handler(0);
- m_status &= ~STATUS_DATA_AVAILABLE;
- data = m_data;
- break;
+ m_int_handler(0);
+ m_status &= ~STATUS_DATA_AVAILABLE;
+ data = m_data;
+ break;
- case 1:
- if (0)
- logerror("Read status from keyboard: %02x\n", m_status);
+ case 1:
+ if (0)
+ logerror("Read status from keyboard: %02x\n", m_status);
- data = m_status;
- break;
- }
+ data = m_status;
+ break;
+ }
- return data;
+ return data;
}
//-------------------------------------------------
@@ -220,34 +220,34 @@ uint8_t fp6000_kbd_device::read(offs_t offset)
void fp6000_kbd_device::write(offs_t offset, uint8_t data)
{
- switch (offset)
- {
- case 0:
- logerror("Write data to keyboard: %02x\n", data);
-
- switch (data)
- {
- case 0x0f:
- m_status &= ~STATUS_READY_FOR_DATA;
- m_status |= STATUS_DATA_AVAILABLE;
- m_data = 0x35; // or 0x40
- break;
- }
-
- break;
-
- case 1:
- logerror("Write command to keyboard: %02x\n", data);
-
- switch (data)
- {
- case 0x7e:
- m_status |= STATUS_READY_FOR_DATA;
- break;
- }
-
- break;
- }
+ switch (offset)
+ {
+ case 0:
+ logerror("Write data to keyboard: %02x\n", data);
+
+ switch (data)
+ {
+ case 0x0f:
+ m_status &= ~STATUS_READY_FOR_DATA;
+ m_status |= STATUS_DATA_AVAILABLE;
+ m_data = 0x35; // or 0x40
+ break;
+ }
+
+ break;
+
+ case 1:
+ logerror("Write command to keyboard: %02x\n", data);
+
+ switch (data)
+ {
+ case 0x7e:
+ m_status |= STATUS_READY_FOR_DATA;
+ break;
+ }
+
+ break;
+ }
}
//-------------------------------------------------
@@ -262,9 +262,9 @@ void fp6000_kbd_device::key_make(uint8_t row, uint8_t column)
{
send_key(code);
- // no typematic for modifier keys
- if (code != 0x3f && code != 0x4f && code != 0x50 && code != 0x5a && code != 0x5f)
- typematic_start(row, column, attotime::from_msec(750), attotime::from_msec(50));
+ // no typematic for modifier keys
+ if (code != 0x3f && code != 0x4f && code != 0x50 && code != 0x5a && code != 0x5f)
+ typematic_start(row, column, attotime::from_msec(750), attotime::from_msec(50));
}
}
@@ -280,7 +280,7 @@ void fp6000_kbd_device::key_break(uint8_t row, uint8_t column)
uint8_t code = translate(row, column);
if (code != 0x7f)
- send_key(0x80 | code);
+ send_key(0x80 | code);
}
//-------------------------------------------------
@@ -299,7 +299,7 @@ void fp6000_kbd_device::key_repeat(u8 row, u8 column)
uint8_t fp6000_kbd_device::translate(uint8_t row, uint8_t column)
{
- return row * 16 + column;
+ return row * 16 + column;
}
//-------------------------------------------------
@@ -308,7 +308,7 @@ uint8_t fp6000_kbd_device::translate(uint8_t row, uint8_t column)
void fp6000_kbd_device::send_key(uint8_t code)
{
- m_status |= STATUS_DATA_AVAILABLE;
- m_data = code;
- m_int_handler(1);
+ m_status |= STATUS_DATA_AVAILABLE;
+ m_data = code;
+ m_int_handler(1);
}
diff --git a/src/mame/machine/fp6000_kbd.h b/src/mame/machine/fp6000_kbd.h
index 978a2b49e3f..1570eea16c6 100644
--- a/src/mame/machine/fp6000_kbd.h
+++ b/src/mame/machine/fp6000_kbd.h
@@ -44,19 +44,19 @@ protected:
virtual void key_repeat(uint8_t row, uint8_t column) override;
private:
- devcb_write_line m_int_handler;
+ devcb_write_line m_int_handler;
- enum
- {
- STATUS_READY_FOR_DATA = 0x01,
- STATUS_DATA_AVAILABLE = 0x02
- };
+ enum
+ {
+ STATUS_READY_FOR_DATA = 0x01,
+ STATUS_DATA_AVAILABLE = 0x02
+ };
uint8_t translate(uint8_t row, uint8_t column);
void send_key(uint8_t code);
- uint8_t m_status;
- uint8_t m_data;
+ uint8_t m_status;
+ uint8_t m_data;
};
// device type definition
diff --git a/src/mame/machine/namcos2.cpp b/src/mame/machine/namcos2.cpp
index d57038a5e8d..2ebd1dbcccf 100644
--- a/src/mame/machine/namcos2.cpp
+++ b/src/mame/machine/namcos2.cpp
@@ -401,13 +401,13 @@ void namcos2_state::sound_bankselect_w(uint8_t data)
uint16_t namcos2_state::c140_rom_r(offs_t offset)
{
/*
- Verified from schematics:
- MD0-MD3 : Connected in 3N "voice0" D0-D3 or D4-D7, Nibble changeable with 74LS157
- MD4-MD11 : Connected in 3M "voice1" or 3L "voice2" D0-D7
- MA0-MA18 : Connected in Address bus of ROMs
- MA19 : Connected in 74LS157 Select Pin
- MA20 : Connected in 74LS157 Strobe(Enable) Pin
- MA21 : ROM select in MD4-MD11 area
+ Verified from schematics:
+ MD0-MD3 : Connected in 3N "voice0" D0-D3 or D4-D7, Nibble changeable with 74LS157
+ MD4-MD11 : Connected in 3M "voice1" or 3L "voice2" D0-D7
+ MA0-MA18 : Connected in Address bus of ROMs
+ MA19 : Connected in 74LS157 Select Pin
+ MA20 : Connected in 74LS157 Strobe(Enable) Pin
+ MA21 : ROM select in MD4-MD11 area
*/
if (m_c140_region != nullptr)
{
diff --git a/src/mame/video/bbc.cpp b/src/mame/video/bbc.cpp
index f84e23f46a0..4c49cd58e8f 100644
--- a/src/mame/video/bbc.cpp
+++ b/src/mame/video/bbc.cpp
@@ -143,12 +143,12 @@ void bbc_state::video_ula_w(offs_t offset, uint8_t data)
{
case 0:
/* Video ULA control register
- b7 Master cursor size
- b6-b5 Width of cursor in bytes
- b4 6845 clock rate select
- b3-b2 Number of characters per line
- b1 Teletext/Normal select
- b0 Flash colour select
+ b7 Master cursor size
+ b6-b5 Width of cursor in bytes
+ b4 6845 clock rate select
+ b3-b2 Number of characters per line
+ b1 Teletext/Normal select
+ b0 Flash colour select
*/
/* flash colour select has changed */
diff --git a/src/mame/video/gaplus.cpp b/src/mame/video/gaplus.cpp
index 2c1df6bb088..2691ff9bedc 100644
--- a/src/mame/video/gaplus.cpp
+++ b/src/mame/video/gaplus.cpp
@@ -373,7 +373,7 @@ WRITE_LINE_MEMBER(gaplus_base_state::screen_vblank)/* update starfields */
/* scroll down (speed 2) */
stars[i].x += SPEED_2;
break;
-
+
case 0x80:
/* scroll up (speed 1) */
stars[i].x -= SPEED_1;
diff --git a/src/mame/video/jag_blitter.cpp b/src/mame/video/jag_blitter.cpp
index 46f5809a8f5..f318808ad45 100644
--- a/src/mame/video/jag_blitter.cpp
+++ b/src/mame/video/jag_blitter.cpp
@@ -2,12 +2,12 @@
// copyright-holders:Angelo Salese
/***************************************************************************
- Atari Jaguar "Blitter" device
-
- TODO:
+ Atari Jaguar "Blitter" device
+
+ TODO:
- Stub device, port/rewrite from jagblit;
- - actual codename/chip part number;
- - has different revs, encapsulate;
+ - actual codename/chip part number;
+ - has different revs, encapsulate;
***************************************************************************/
@@ -80,7 +80,7 @@ void jag_blitter_device::device_reset()
{
m_command_latch = 0;
m_status_idle = true;
-
+
m_command_timer->adjust(attotime::never);
}
@@ -97,12 +97,12 @@ const jag_blitter_device::op_func jag_blitter_device::upda_ops[8] =
&jag_blitter_device::op_nop,
&jag_blitter_device::op_unemulated, // upda1f
&jag_blitter_device::op_upda1,
- &jag_blitter_device::op_unemulated, // upda1 + upda1f
+ &jag_blitter_device::op_unemulated, // upda1 + upda1f
&jag_blitter_device::op_unemulated, // upda2
&jag_blitter_device::op_unemulated, // upda1f + upda2
&jag_blitter_device::op_unemulated, // upda1 + upda2
- &jag_blitter_device::op_unemulated // upda1 + upda1f + upda2
+ &jag_blitter_device::op_unemulated // upda1 + upda1f + upda2
};
void jag_blitter_device::op_nop()
@@ -119,7 +119,7 @@ void jag_blitter_device::op_unemulated()
void jag_blitter_device::op_upda1()
{
// ...
-
+
}
inline void jag_blitter_device::command_start()
@@ -135,15 +135,15 @@ inline void jag_blitter_device::command_run()
{
// TODO: need to single step, have different timings between pixel and phrase modes,
// calculate collision detection, delay a bit the kickoff due of bus chain requests,
- // take more time by virtue of using additional steps, be a civilian or not depending
+ // take more time by virtue of using additional steps, be a civilian or not depending
// of BUSHI setting
-
+
printf("%08x\n",m_command_latch);
// init
m_a1.ptr = m_a1.base;
-
+
// ...
-
+
command_done();
}
@@ -201,7 +201,7 @@ void jag_blitter_device::command_w(offs_t offset, u32 data, u32 mem_mask)
{
COMBINE_DATA(&m_command_latch);
// TODO: is it possible from 68k to write on this in byte units?
- // We may just do so in order to take endianness into account, or just delegate to the overlying bus framework,
+ // We may just do so in order to take endianness into account, or just delegate to the overlying bus framework,
// may be a common problem with ALL video regs for that matter.
if (ACCESSING_BITS_0_15)
command_start();
diff --git a/src/mame/video/jag_blitter.h b/src/mame/video/jag_blitter.h
index 313f98f2d22..c16daf226eb 100644
--- a/src/mame/video/jag_blitter.h
+++ b/src/mame/video/jag_blitter.h
@@ -2,7 +2,7 @@
// copyright-holders:Angelo Salese
/***************************************************************************
- Atari Jaguar "Blitter" device
+ Atari Jaguar "Blitter" device
***************************************************************************/
@@ -26,7 +26,7 @@
// ======================> jag_blitter_device
class jag_blitter_device : public device_t,
- public device_memory_interface
+ public device_memory_interface
{
public:
// construction/destruction
@@ -46,7 +46,7 @@ protected:
const address_space_config m_space_config;
-// address_space *m_host_space;
+// address_space *m_host_space;
private:
void regs_map(address_map &map);
@@ -58,22 +58,22 @@ private:
void command_w(offs_t offset, u32 data, u32 mem_mask = ~0);
void count_outer_w(offs_t offset, u16 data, u16 mem_mask = ~0);
void count_inner_w(offs_t offset, u16 data, u16 mem_mask = ~0);
-
+
// timer setups
emu_timer *m_command_timer;
inline void command_start();
inline void command_run();
inline void command_done();
-
+
// functional switches
-
+
typedef void (jag_blitter_device::*op_func)(void);
-
+
static const op_func upda_ops[8];
void op_nop();
void op_unemulated();
void op_upda1();
-
+
u32 m_command_latch;
bool m_status_idle;
u32 m_count_lines, m_count_pixels;
@@ -81,7 +81,7 @@ private:
struct {
u32 base;
s16 xstep, ystep;
-
+
u32 ptr; /**< Current pixel address */
} m_a1;
};
diff --git a/src/mame/video/jaguar.cpp b/src/mame/video/jaguar.cpp
index c402ecb31ef..bb745c11dc7 100644
--- a/src/mame/video/jaguar.cpp
+++ b/src/mame/video/jaguar.cpp
@@ -4,11 +4,11 @@
Atari Jaguar hardware
- TODO (list of exceptions):
- jaguar_state::generic_blitter()
- - atarikrt, bretth, brutalsp, nbajamte, spacewar, spacewarp, tempst2k
- jaguar_state::blitter_09800009_000020_000020()
- - ruinerp
+ TODO (list of exceptions):
+ jaguar_state::generic_blitter()
+ - atarikrt, bretth, brutalsp, nbajamte, spacewar, spacewarp, tempst2k
+ jaguar_state::blitter_09800009_000020_000020()
+ - ruinerp
****************************************************************************
@@ -282,11 +282,11 @@ inline void jaguar_state::verify_host_cpu_irq()
else
{
// cojag r3000 uses irq 4
- // cojag 68020 uses irq 6
+ // cojag 68020 uses irq 6
if ((m_cpu_irq_state & m_gpu_regs[INT1] & 0x1f) != 0)
m_maincpu->set_input_line(m_is_r3000 ? INPUT_LINE_IRQ4 : M68K_IRQ_6, ASSERT_LINE);
else
- m_maincpu->set_input_line(m_is_r3000 ? INPUT_LINE_IRQ4 : M68K_IRQ_6, CLEAR_LINE);
+ m_maincpu->set_input_line(m_is_r3000 ? INPUT_LINE_IRQ4 : M68K_IRQ_6, CLEAR_LINE);
}
}
@@ -647,7 +647,7 @@ void jaguar_state::tom_regs_w(offs_t offset, uint16_t data, uint16_t mem_mask)
m_cpu_irq_state &= ~(m_gpu_regs[INT1] >> 8);
verify_host_cpu_irq();
break;
-
+
// TODO: INT2 bus mechanism
case VMODE:
diff --git a/src/mame/video/k037122.cpp b/src/mame/video/k037122.cpp
index a4fe25b5884..c8086c59f41 100644
--- a/src/mame/video/k037122.cpp
+++ b/src/mame/video/k037122.cpp
@@ -3,59 +3,59 @@
/*
Konami 037122
- This chip has CLUT, CRTC, Variable size single tilemap
-
- Color lookup table is 16 bit BRG format, total 8192 entries (256 color per each tile * 32 banks))
-
- Color format (4 byte (1x32bit word) per each color)
- Bits Description
- fedcba9876543210 fedcba9876543210
- ---------------- xxxxx----------- Blue
- ---------------- -----xxxxx------ Red
- ---------------- ----------xxxxxx Green
-
- Tilemap is can be rotated, zoomed, similar as K053936 "simple mode"
- Tilemap size is 256x64 (2048x512 pixels) or 128x64 (1024x512 pixels), Each tile is 8bpp 8x8.
-
- Tile format (4 byte (1x32bit word) per each tile)
- Bits Description
- fedcba9876543210 fedcba9876543210
- --------x------- ---------------- Flip Y
- ---------x------ ---------------- Flip X
- ----------xxxxx- ---------------- CLUT Bank index (256 color granularity)
- ---------------- --xxxxxxxxxxxxxx Tile code (from character RAM, 8x8 pixel granularity (128 byte))
-
- Other bits unknown
-
- Register map
- 00-0f Display timing
- 20-2f Scroll/ROZ register
- 30-3f Control, etc
-
- Offset Bits Description
- fedcba9876543210 fedcba9876543210
- 00 xxxxxxxxxxxxxxxx ---------------- Horizontal total pixels - 1
- ---------------- xxxxxxxxxxxxxxxx Horizontal sync width - 1
- 04 xxxxxxxxxxxxxxxx ---------------- Horizontal front porch - 5
- ---------------- xxxxxxxxxxxxxxxx Horizontal back porch + 5
- 08 xxxxxxxxxxxxxxxx ---------------- Vertical total pixels - 1
- ---------------- xxxxxxxxxxxxxxxx Vertical sync width - 1
- 0c xxxxxxxxxxxxxxxx ---------------- Vertical front porch + 1
- ---------------- xxxxxxxxxxxxxxxx Vertical back porch - 2
- 20 sxxxxxxxxxxxxxxx ---------------- X counter starting value (12.4 fixed point)
- ---------------- sxxxxxxxxxxxxxxx Y counter starting value (12.4 fixed point)
- 24 ---------------- sxxxxxxxxxxxxxxx amount to add to the Y counter after each line (4.12 fixed point)
- 28 sxxxxxxxxxxxxxxx ---------------- amount to add to the X counter after each horizontal pixel (4.12 fixed point)
- 30 ---------------x ---------------- VRAM mapping mode
- ---------------0 ---------------- CLUT at 0x00000-0x08000, Display tilemap area at 0x08000-0x18000 (256x64)
- ---------------1 ---------------- CLUT at 0x18000-0x20000, Display tilemap area at 0x00000-0x08000 (128x64)
- ---------------- -------------xxx Character RAM bank
-
- Other bits/registers unknown, some registers are used
+ This chip has CLUT, CRTC, Variable size single tilemap
+
+ Color lookup table is 16 bit BRG format, total 8192 entries (256 color per each tile * 32 banks))
+
+ Color format (4 byte (1x32bit word) per each color)
+ Bits Description
+ fedcba9876543210 fedcba9876543210
+ ---------------- xxxxx----------- Blue
+ ---------------- -----xxxxx------ Red
+ ---------------- ----------xxxxxx Green
+
+ Tilemap is can be rotated, zoomed, similar as K053936 "simple mode"
+ Tilemap size is 256x64 (2048x512 pixels) or 128x64 (1024x512 pixels), Each tile is 8bpp 8x8.
+
+ Tile format (4 byte (1x32bit word) per each tile)
+ Bits Description
+ fedcba9876543210 fedcba9876543210
+ --------x------- ---------------- Flip Y
+ ---------x------ ---------------- Flip X
+ ----------xxxxx- ---------------- CLUT Bank index (256 color granularity)
+ ---------------- --xxxxxxxxxxxxxx Tile code (from character RAM, 8x8 pixel granularity (128 byte))
+
+ Other bits unknown
+
+ Register map
+ 00-0f Display timing
+ 20-2f Scroll/ROZ register
+ 30-3f Control, etc
+
+ Offset Bits Description
+ fedcba9876543210 fedcba9876543210
+ 00 xxxxxxxxxxxxxxxx ---------------- Horizontal total pixels - 1
+ ---------------- xxxxxxxxxxxxxxxx Horizontal sync width - 1
+ 04 xxxxxxxxxxxxxxxx ---------------- Horizontal front porch - 5
+ ---------------- xxxxxxxxxxxxxxxx Horizontal back porch + 5
+ 08 xxxxxxxxxxxxxxxx ---------------- Vertical total pixels - 1
+ ---------------- xxxxxxxxxxxxxxxx Vertical sync width - 1
+ 0c xxxxxxxxxxxxxxxx ---------------- Vertical front porch + 1
+ ---------------- xxxxxxxxxxxxxxxx Vertical back porch - 2
+ 20 sxxxxxxxxxxxxxxx ---------------- X counter starting value (12.4 fixed point)
+ ---------------- sxxxxxxxxxxxxxxx Y counter starting value (12.4 fixed point)
+ 24 ---------------- sxxxxxxxxxxxxxxx amount to add to the Y counter after each line (4.12 fixed point)
+ 28 sxxxxxxxxxxxxxxx ---------------- amount to add to the X counter after each horizontal pixel (4.12 fixed point)
+ 30 ---------------x ---------------- VRAM mapping mode
+ ---------------0 ---------------- CLUT at 0x00000-0x08000, Display tilemap area at 0x08000-0x18000 (256x64)
+ ---------------1 ---------------- CLUT at 0x18000-0x20000, Display tilemap area at 0x00000-0x08000 (128x64)
+ ---------------- -------------xxx Character RAM bank
+
+ Other bits/registers unknown, some registers are used
TODO:
- - verify and implement scroll, ROZ, display timing registers
- - verify other unknown but used registers
+ - verify and implement scroll, ROZ, display timing registers
+ - verify other unknown but used registers
*/
#include "emu.h"
diff --git a/src/mame/video/redalert.cpp b/src/mame/video/redalert.cpp
index d2a39ce8c0b..3e216dec401 100644
--- a/src/mame/video/redalert.cpp
+++ b/src/mame/video/redalert.cpp
@@ -238,7 +238,7 @@ VIDEO_START_MEMBER(redalert_state,redalert)
VIDEO_START_MEMBER(redalert_state,demoneye)
{
VIDEO_START_CALL_MEMBER( redalert );
-
+
save_pointer(NAME(m_demoneye_bitmap_reg), 4);
save_item(NAME(m_demoneye_bitmap_yoffs));
}
@@ -330,18 +330,18 @@ uint32_t redalert_state::screen_update_redalert(screen_device &screen, bitmap_rg
*************************************/
/*
- [0]
- xxxx xxxx X position
+ [0]
+ xxxx xxxx X position
[1]
- -??x ---- tile bank * 0x20 (?)
- ---- xx-- <used, unknown purpose>
- ---- --x- (1) 8x8 tile width 4, (0) 4x4
- ---- ---x enable layer
- [2]
- ---- x--- boss second form, <unknown purpose>
- ---- --xx tile bank * 0x100 (?)
- [3]
- ---- --xx <3 on normal/first form boss, 1 on second form>
+ -??x ---- tile bank * 0x20 (?)
+ ---- xx-- <used, unknown purpose>
+ ---- --x- (1) 8x8 tile width 4, (0) 4x4
+ ---- ---x enable layer
+ [2]
+ ---- x--- boss second form, <unknown purpose>
+ ---- --xx tile bank * 0x100 (?)
+ [3]
+ ---- --xx <3 on normal/first form boss, 1 on second form>
*/
void redalert_state::demoneye_bitmap_layer_w(offs_t offset, uint8_t data)
{
@@ -412,12 +412,12 @@ uint32_t redalert_state::screen_update_demoneye(screen_device &screen, bitmap_rg
charmap_data_2 = charmap_data_2 << 1;
}
}
-
+
u8 x = m_demoneye_bitmap_reg[0];
u8 y = m_demoneye_bitmap_yoffs;
u8 control = m_demoneye_bitmap_reg[1];
-
+
if(control&1)
{
// TODO: pinpoint what the unknown bits are for (more zooming? color offset?)
@@ -427,7 +427,7 @@ uint32_t redalert_state::screen_update_demoneye(screen_device &screen, bitmap_rg
int base = 0x1400;
base += (control & 0x10) ? 0x20 : 0;
base += (m_demoneye_bitmap_reg[2] & 3) * 0x100;
-
+
for(int x_block=0; x_block<8; ++x_block)
{
for(int y_block=0; y_block<8; ++y_block)
@@ -444,7 +444,7 @@ uint32_t redalert_state::screen_update_demoneye(screen_device &screen, bitmap_rg
int ccc = ((l0&0x80)>>5) | ((l1&0x80)>>6) | ((l2&0x80)>>7);
if(ccc)
{
- // both are clearly reversed,
+ // both are clearly reversed,
// cfr. boss first form (when opens the eye)
// or second form (follows player position)
int y_dst = 8*width - (y_block*8+iy);
@@ -459,7 +459,7 @@ uint32_t redalert_state::screen_update_demoneye(screen_device &screen, bitmap_rg
}
}
}
-
+
base+=8;
}
}
diff --git a/src/mame/video/seta2.cpp b/src/mame/video/seta2.cpp
index f3e7fa9e123..d5f66766702 100644
--- a/src/mame/video/seta2.cpp
+++ b/src/mame/video/seta2.cpp
@@ -75,14 +75,14 @@
The low bits of the pens from a "shadowing" tile (regardless of color code)
substitute the top bits of the color index (0-7fff) in the frame buffer.
- Note:
+ Note:
- Based on raster effect usage in Guardians it would appear this list is
- transformed into an alt format by the hardware. It is unknown if this
- alt format is visible to the CPU for reading, or only writing the updated
- scroll positions. We could do with attempting a RAM capture to see what
- this format really looks like if it is CPU visible as the current
- implementation of this copy / reformat operation is pure guesswork
+ Based on raster effect usage in Guardians it would appear this list is
+ transformed into an alt format by the hardware. It is unknown if this
+ alt format is visible to the CPU for reading, or only writing the updated
+ scroll positions. We could do with attempting a RAM capture to see what
+ this format really looks like if it is CPU visible as the current
+ implementation of this copy / reformat operation is pure guesswork
***************************************************************************/
@@ -473,7 +473,7 @@ int seta2_state::calculate_global_xoffset(int nozoom_fixedpalette_fixedposition)
/*
int global_xoffset = (m_vregs[0x12/2] & 0x7ff); // and 0x10/2 for low bits
if (global_xoffset & 0x400)
- global_xoffset -= 0x800;
+ global_xoffset -= 0x800;
// funcube3 sets a global xoffset of -1 causing a single pixel shift, does something else compensate for it?
// note, it also writes a different address for the sprite buffering (related?) but doesn't also have the global zoom set to negative like Star Audition which also writes there.
@@ -484,7 +484,7 @@ int seta2_state::calculate_global_xoffset(int nozoom_fixedpalette_fixedposition)
// TODO: properly render negative zoom sprites
if (global_xzoom & 0x400)
{
- global_xoffset -= 0x14f;
+ global_xoffset -= 0x14f;
}
*/
@@ -501,7 +501,7 @@ int seta2_state::calculate_global_yoffset(int nozoom_fixedpalette_fixedposition)
// Sprites list
//int global_yoffset = (m_vregs[0x1a / 2] & 0x7ff); // and 0x18/2 for low bits
//if (global_yoffset & 0x400)
- // global_yoffset -= 0x800;
+ // global_yoffset -= 0x800;
//global_yoffset += 1; // +2 for myangel / myangel2?
int global_yoffset = 0;
@@ -599,19 +599,19 @@ void seta2_state::draw_sprites_line(bitmap_ind16 &bitmap, const rectangle &clipr
int height = use_global_size ? global_sizey : local_sizey;
height = ((height & 0xfc00) >> 10) + 1;
-
+
int firstline = (sy + yoffs) & 0x3ff;
-
+
if (firstline & 0x200)
firstline -= 0x400;
-
+
int endline = firstline + height * 0x10 - 1;
// if the sprite doesn't cover this scanline, bail now
-
+
if (endline & 0x200)
endline -= 0x400;
-
+
if (endline >= firstline)
{
if (firstline > usedscanline) continue;
@@ -691,7 +691,7 @@ void seta2_state::draw_sprites_line(bitmap_ind16 &bitmap, const rectangle &clipr
if (realscanline == 128)
{
- // printf("%04x %02x %d %d\n", sprite_debug_count, num, yoffs, sy);
+ // printf("%04x %02x %d %d\n", sprite_debug_count, num, yoffs, sy);
}
int sizey = use_global_size ? global_sizey : s2[1] & 0xfc00;
@@ -760,7 +760,7 @@ void seta2_state::draw_sprites_line(bitmap_ind16 &bitmap, const rectangle &clipr
realsx = realsx * usedxzoom;
drawgfx_line(bitmap, cliprect, which_gfx, m_spritegfx->get_data(m_realtilenumber[realcode]), color << 4, flipx, flipy, realsx, usedxzoom, use_shadow, realscanline, line, opaque);
}
-
+
}
}
}
@@ -852,7 +852,7 @@ void seta2_state::draw_sprites(bitmap_ind16& bitmap, const rectangle& cliprect)
yy &= 0x07ffffff;
yy >>= 16;
- // printf("line %04x yline requested %04x\n", y, yy);
+ // printf("line %04x yline requested %04x\n", y, yy);
if (yy & 0x400)
yy -= 0x800;
diff --git a/src/mame/video/vtvideo.cpp b/src/mame/video/vtvideo.cpp
index ffe42801c93..f70e2c58b95 100644
--- a/src/mame/video/vtvideo.cpp
+++ b/src/mame/video/vtvideo.cpp
@@ -651,30 +651,30 @@ void vt100_video_device::display_char(bitmap_ind16 &bitmap, uint8_t code, int x,
for (int b = 0; b < bits_width; b++)
{
if (double_width)
- {
- if (bitmap.pix16(y_preset, DOUBLE_x_preset + b) == fg_intensity)
- {
- prev_bit = fg_intensity;
- }
- else
- {
- if (prev_bit == fg_intensity)
+ {
+ if (bitmap.pix16(y_preset, DOUBLE_x_preset + b) == fg_intensity)
+ {
+ prev_bit = fg_intensity;
+ }
+ else
+ {
+ if (prev_bit == fg_intensity)
bitmap.pix16(y_preset, DOUBLE_x_preset + b) = fg_intensity;
- prev_bit = back_intensity;
- }
+ prev_bit = back_intensity;
+ }
}
else
{
- if (bitmap.pix16(y_preset, x_preset + b) == fg_intensity)
- {
- prev_bit = fg_intensity;
- }
- else
- {
- if (prev_bit == fg_intensity)
+ if (bitmap.pix16(y_preset, x_preset + b) == fg_intensity)
+ {
+ prev_bit = fg_intensity;
+ }
+ else
+ {
+ if (prev_bit == fg_intensity)
bitmap.pix16(y_preset, x_preset + b) = fg_intensity;
- prev_bit = back_intensity;
- }
+ prev_bit = back_intensity;
+ }
}
}
} // for (scan_line)
diff --git a/src/mame/video/xbox_nv2a.cpp b/src/mame/video/xbox_nv2a.cpp
index 1afbf96fae0..ab257da94f1 100644
--- a/src/mame/video/xbox_nv2a.cpp
+++ b/src/mame/video/xbox_nv2a.cpp
@@ -1028,7 +1028,7 @@ int xx1,yy1,xx2,yy2;
}
for (int y=yy1;y <= yy2;y++) {
*(bmp+y*width+xx1) = color;
- }
+ }
} else if (yy1 == yy2) {
if (xx1 > xx2) {
int t=xx1;
@@ -1957,8 +1957,8 @@ void nv2a_renderer::write_pixel(int x, int y, uint32_t color, int z)
w = (ft & ~color_mask) | (ct & color_mask);
/* for debugging
- if (w == 0x94737d7b)
- x++;
+ if (w == 0x94737d7b)
+ x++;
*/
switch (colorformat_rendertarget) {
case NV2A_COLOR_FORMAT::R5G6B5:
@@ -3501,8 +3501,8 @@ int nv2a_renderer::execute_method_3d(address_space& space, uint32_t chanel, uint
compute_limits_rendertarget(chanel, subchannel);
compute_size_rendertarget(chanel, subchannel);
/* for debugging
- if (limits_rendertarget.max_x == 1023)
- type_rendertarget = NV2A_RT_TYPE::LINEAR;
+ if (limits_rendertarget.max_x == 1023)
+ type_rendertarget = NV2A_RT_TYPE::LINEAR;
*/
switch (colorformat_rendertarget) {
case NV2A_COLOR_FORMAT::R5G6B5:
diff --git a/src/tools/imgtool/modules/dgndos.cpp b/src/tools/imgtool/modules/dgndos.cpp
index 199a4bc5862..a6ad25c3d5d 100644
--- a/src/tools/imgtool/modules/dgndos.cpp
+++ b/src/tools/imgtool/modules/dgndos.cpp
@@ -6,7 +6,7 @@
Dragon DOS disk images
- I am not happy with the sector allocation algorithm
+ I am not happy with the sector allocation algorithm
****************************************************************************/
@@ -68,7 +68,7 @@ struct dgndos_direnum
#define HEADER_EXTENTS_COUNT 4
#define CONT_EXTENTS_COUNT 7
-#define DGNDOS_DELETED_BIT 0x80 // deleted entry
+#define DGNDOS_DELETED_BIT 0x80 // deleted entry
#define DGNDOS_CONTINUED_BIT 0x20 // byte at offset 0x18 give next entry number
#define DGNDOS_END_BIT 0x08 // end of directory
#define DGNDOS_PROTECT_BIT 0x02 // ignored
@@ -149,13 +149,13 @@ static imgtoolerr_t dgndos_get_geometry(uint8_t *entire_track, int *bitmap_count
if( (~tod & 0xff) != entire_track[0xfe])
{
-// fprintf( stderr, "tracks_on_disk check failed: %u == %u\n", (~tod & 0xff), entire_track[0xfe] );
+// fprintf( stderr, "tracks_on_disk check failed: %u == %u\n", (~tod & 0xff), entire_track[0xfe] );
return IMGTOOLERR_CORRUPTIMAGE;
}
if( (~spt & 0xff) != entire_track[0xff])
{
-// fprintf( stderr, "sectors_per_track check failed: %u == %u\n", (~spt & 0xff), entire_track[0xff] );
+// fprintf( stderr, "sectors_per_track check failed: %u == %u\n", (~spt & 0xff), entire_track[0xff] );
return IMGTOOLERR_CORRUPTIMAGE;
}
@@ -169,7 +169,7 @@ static imgtoolerr_t dgndos_get_geometry(uint8_t *entire_track, int *bitmap_count
}
else
{
-// fprintf( stderr, "sides check failed\n" );
+// fprintf( stderr, "sides check failed\n" );
return IMGTOOLERR_CORRUPTIMAGE;
}
@@ -518,8 +518,8 @@ static imgtoolerr_t dgndos_diskimage_nextenum(imgtool::directory &enumeration, i
}
else
{
- err = dgndos_get_file_size(entire_track20, dgnent, filesize);
- if (err) return err;
+ err = dgndos_get_file_size(entire_track20, dgnent, filesize);
+ if (err) return err;
if (filesize == ((size_t) -1))
{
@@ -906,7 +906,7 @@ static imgtoolerr_t dgndos_diskimage_writefile(imgtool::partition &partition, co
int de_count = 0;
int de_dont_delete = position;
int lsn, count;
- int save_next_de;
+ int save_next_de;
save_next_de = ent.dngdos_last_or_next;
ent.dngdos_last_or_next = last_sector_size;
diff --git a/src/tools/jedutil.cpp b/src/tools/jedutil.cpp
index f0f8d615360..3f4700e22cd 100644
--- a/src/tools/jedutil.cpp
+++ b/src/tools/jedutil.cpp
@@ -6184,7 +6184,7 @@ static void config_gal20v8_pins(const pal_data* pal, const jed_data* jed)
input_pins_combinatorialsimple[input_pin_count] = macrocells[index].pin;
++input_pin_count;
- }
+ }
else
{
output_pins[output_pin_count].flags |= OUTPUT_FEEDBACK_NONE;