summaryrefslogtreecommitdiffstatshomepage
path: root/src
diff options
context:
space:
mode:
author Aaron Giles <aaronsgiles@users.noreply.github.com>2020-10-05 10:29:05 -0700
committer GitHub <noreply@github.com>2020-10-05 13:29:05 -0400
commitdb3a24507f119e9a4f76188f46941a89f0e6992f (patch)
tree8bb4412e40c4c46ccfd5ba400f526396bac4ce02 /src
parent7492ea4b9c67cf0da7070a8ed07ef892c59cc87e (diff)
Hook up Borderline netlist, provided by 'beta-tester' (#7328) [beta-tester]
* netlist: Explicitly trim connection names. * Hook up Borderline netlist, provided by 'beta-tester' * brdrline: Add static solver, using dynamic timestep, update driver flags.
Diffstat (limited to 'src')
-rw-r--r--src/lib/netlist/generated/static_solvers.cpp5795
-rw-r--r--src/lib/netlist/nl_setup.cpp2
-rw-r--r--src/mame/audio/nl_brdrline.cpp1805
-rw-r--r--src/mame/audio/nl_brdrline.h11
-rw-r--r--src/mame/audio/vicdual.cpp155
-rw-r--r--src/mame/audio/vicdual.h30
-rw-r--r--src/mame/drivers/vicdual.cpp16
-rw-r--r--src/mame/includes/vicdual.h6
-rw-r--r--src/mame/nl.lst7
9 files changed, 7517 insertions, 310 deletions
diff --git a/src/lib/netlist/generated/static_solvers.cpp b/src/lib/netlist/generated/static_solvers.cpp
index 29f37500718..3b96cadd5f3 100644
--- a/src/lib/netlist/generated/static_solvers.cpp
+++ b/src/lib/netlist/generated/static_solvers.cpp
@@ -4798,6 +4798,172 @@ static void nl_gcr_24643c159711f292_95_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_2753fc1815ce0cba_23_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A2 += gt[6];
+ m_A3 += go[3];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 += Idr[6];
+ RHS1 -= go[4] * *cnV[4];
+ RHS1 -= go[5] * *cnV[5];
+ RHS1 -= go[6] * *cnV[6];
+ m_A4 += gt[7];
+ m_A4 += gt[8];
+ m_A4 += gt[9];
+ m_A4 += gt[10];
+ m_A5 += go[7];
+ m_A6 += go[8];
+ double RHS2 = Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 += Idr[10];
+ RHS2 -= go[9] * *cnV[9];
+ RHS2 -= go[10] * *cnV[10];
+ m_A8 += gt[11];
+ m_A8 += gt[12];
+ m_A8 += gt[13];
+ m_A8 += gt[14];
+ m_A8 += gt[15];
+ m_A8 += gt[16];
+ m_A8 += gt[17];
+ m_A9 += go[11];
+ m_A7 += go[12];
+ double RHS3 = Idr[11];
+ RHS3 += Idr[12];
+ RHS3 += Idr[13];
+ RHS3 += Idr[14];
+ RHS3 += Idr[15];
+ RHS3 += Idr[16];
+ RHS3 += Idr[17];
+ RHS3 -= go[13] * *cnV[13];
+ RHS3 -= go[14] * *cnV[14];
+ RHS3 -= go[15] * *cnV[15];
+ RHS3 -= go[16] * *cnV[16];
+ RHS3 -= go[17] * *cnV[17];
+ m_A11 += gt[18];
+ m_A11 += gt[19];
+ m_A11 += gt[20];
+ m_A11 += gt[21];
+ m_A13 += go[18];
+ m_A12 += go[19];
+ m_A10 += go[20];
+ double RHS4 = Idr[18];
+ RHS4 += Idr[19];
+ RHS4 += Idr[20];
+ RHS4 += Idr[21];
+ RHS4 -= go[21] * *cnV[21];
+ m_A16 += gt[22];
+ m_A16 += gt[23];
+ m_A16 += gt[24];
+ m_A14 += go[22];
+ m_A15 += go[23];
+ double RHS5 = Idr[22];
+ RHS5 += Idr[23];
+ RHS5 += Idr[24];
+ RHS5 -= go[24] * *cnV[24];
+ m_A22 += gt[25];
+ m_A22 += gt[26];
+ m_A18 += go[25];
+ m_A20 += go[26];
+ double RHS6 = Idr[25];
+ RHS6 += Idr[26];
+ const double f0 = 1.0 / m_A0;
+ const double f0_3 = -f0 * m_A7;
+ m_A9 += m_A1 * f0_3;
+ RHS3 += f0_3 * RHS0;
+ const double f0_4 = -f0 * m_A10;
+ m_A11 += m_A1 * f0_4;
+ RHS4 += f0_4 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_5 = -f1 * m_A14;
+ m_A16 += m_A3 * f1_5;
+ RHS5 += f1_5 * RHS1;
+ const double f2 = 1.0 / m_A4;
+ const double f2_6 = -f2 * m_A18;
+ m_A19 += m_A5 * f2_6;
+ m_A22 += m_A6 * f2_6;
+ RHS6 += f2_6 * RHS2;
+ const double f3 = 1.0 / m_A8;
+ const double f3_6 = -f3 * m_A19;
+ m_A20 += m_A9 * f3_6;
+ RHS6 += f3_6 * RHS3;
+ const double f4 = 1.0 / m_A11;
+ const double f4_5 = -f4 * m_A15;
+ m_A16 += m_A12 * f4_5;
+ m_A17 += m_A13 * f4_5;
+ RHS5 += f4_5 * RHS4;
+ const double f4_6 = -f4 * m_A20;
+ m_A21 += m_A12 * f4_6;
+ m_A22 += m_A13 * f4_6;
+ RHS6 += f4_6 * RHS4;
+ const double f5 = 1.0 / m_A16;
+ const double f5_6 = -f5 * m_A21;
+ m_A22 += m_A17 * f5_6;
+ RHS6 += f5_6 * RHS5;
+ V[6] = RHS6 / m_A22;
+ double tmp5 = 0.0;
+ tmp5 += m_A17 * V[6];
+ V[5] = (RHS5 - tmp5) / m_A16;
+ double tmp4 = 0.0;
+ tmp4 += m_A12 * V[5];
+ tmp4 += m_A13 * V[6];
+ V[4] = (RHS4 - tmp4) / m_A11;
+ double tmp3 = 0.0;
+ tmp3 += m_A9 * V[4];
+ V[3] = (RHS3 - tmp3) / m_A8;
+ double tmp2 = 0.0;
+ tmp2 += m_A5 * V[3];
+ tmp2 += m_A6 * V[6];
+ V[2] = (RHS2 - tmp2) / m_A4;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[5];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[4];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// zac1b11142
static void nl_gcr_287a160e7c36b5b0_96_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -6634,6 +6800,82 @@ static void nl_gcr_2f84bc98d737730b_22_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_30923b54310ae144_8_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A3 += gt[3];
+ m_A3 += gt[4];
+ m_A3 += gt[5];
+ m_A3 += gt[6];
+ m_A4 += go[3];
+ m_A2 += go[4];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 += Idr[6];
+ RHS1 -= go[5] * *cnV[5];
+ RHS1 -= go[6] * *cnV[6];
+ m_A7 += gt[7];
+ m_A7 += gt[8];
+ m_A7 += gt[9];
+ m_A7 += gt[10];
+ m_A7 += gt[11];
+ m_A7 += gt[12];
+ m_A7 += gt[13];
+ m_A5 += go[7];
+ m_A6 += go[8];
+ double RHS2 = Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 += Idr[10];
+ RHS2 += Idr[11];
+ RHS2 += Idr[12];
+ RHS2 += Idr[13];
+ RHS2 -= go[9] * *cnV[9];
+ RHS2 -= go[10] * *cnV[10];
+ RHS2 -= go[11] * *cnV[11];
+ RHS2 -= go[12] * *cnV[12];
+ RHS2 -= go[13] * *cnV[13];
+ const double f0 = 1.0 / m_A0;
+ const double f0_1 = -f0 * m_A2;
+ m_A3 += m_A1 * f0_1;
+ RHS1 += f0_1 * RHS0;
+ const double f0_2 = -f0 * m_A5;
+ m_A6 += m_A1 * f0_2;
+ RHS2 += f0_2 * RHS0;
+ const double f1 = 1.0 / m_A3;
+ const double f1_2 = -f1 * m_A6;
+ m_A7 += m_A4 * f1_2;
+ RHS2 += f1_2 * RHS1;
+ V[2] = RHS2 / m_A7;
+ double tmp1 = 0.0;
+ tmp1 += m_A4 * V[2];
+ V[1] = (RHS1 - tmp1) / m_A3;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[1];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// tankbatt
static void nl_gcr_328d886b444b586b_137_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -9056,6 +9298,575 @@ static void nl_gcr_34e910fc1896999f_76_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_3c79fd354e01fa8c_89_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ double m_A75(0.0);
+ double m_A76(0.0);
+ double m_A77(0.0);
+ double m_A78(0.0);
+ double m_A79(0.0);
+ double m_A80(0.0);
+ double m_A81(0.0);
+ double m_A82(0.0);
+ double m_A83(0.0);
+ double m_A84(0.0);
+ double m_A85(0.0);
+ double m_A86(0.0);
+ double m_A87(0.0);
+ double m_A88(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A2 += gt[6];
+ m_A3 += go[3];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 += Idr[6];
+ RHS1 -= go[4] * *cnV[4];
+ RHS1 -= go[5] * *cnV[5];
+ RHS1 -= go[6] * *cnV[6];
+ m_A4 += gt[7];
+ m_A4 += gt[8];
+ m_A4 += gt[9];
+ m_A4 += gt[10];
+ m_A6 += go[7];
+ m_A7 += go[8];
+ m_A5 += go[9];
+ double RHS2 = Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 += Idr[10];
+ RHS2 -= go[10] * *cnV[10];
+ m_A8 += gt[11];
+ m_A8 += gt[12];
+ m_A8 += gt[13];
+ m_A8 += gt[14];
+ m_A8 += gt[15];
+ m_A11 += go[11];
+ m_A10 += go[12];
+ m_A9 += go[13];
+ double RHS3 = Idr[11];
+ RHS3 += Idr[12];
+ RHS3 += Idr[13];
+ RHS3 += Idr[14];
+ RHS3 += Idr[15];
+ RHS3 -= go[14] * *cnV[14];
+ RHS3 -= go[15] * *cnV[15];
+ m_A12 += gt[16];
+ m_A12 += gt[17];
+ m_A12 += gt[18];
+ m_A13 += go[16];
+ double RHS4 = Idr[16];
+ RHS4 += Idr[17];
+ RHS4 += Idr[18];
+ RHS4 -= go[17] * *cnV[17];
+ RHS4 -= go[18] * *cnV[18];
+ m_A14 += gt[19];
+ m_A14 += gt[20];
+ m_A14 += gt[21];
+ m_A14 += gt[22];
+ m_A15 += go[19];
+ double RHS5 = Idr[19];
+ RHS5 += Idr[20];
+ RHS5 += Idr[21];
+ RHS5 += Idr[22];
+ RHS5 -= go[20] * *cnV[20];
+ RHS5 -= go[21] * *cnV[21];
+ RHS5 -= go[22] * *cnV[22];
+ m_A16 += gt[23];
+ m_A16 += gt[24];
+ m_A16 += gt[25];
+ m_A16 += gt[26];
+ m_A16 += gt[27];
+ m_A16 += gt[28];
+ m_A17 += go[23];
+ m_A19 += go[24];
+ m_A20 += go[25];
+ m_A18 += go[26];
+ double RHS6 = Idr[23];
+ RHS6 += Idr[24];
+ RHS6 += Idr[25];
+ RHS6 += Idr[26];
+ RHS6 += Idr[27];
+ RHS6 += Idr[28];
+ RHS6 -= go[27] * *cnV[27];
+ RHS6 -= go[28] * *cnV[28];
+ m_A21 += gt[29];
+ m_A21 += gt[30];
+ m_A21 += gt[31];
+ m_A21 += gt[32];
+ m_A21 += gt[33];
+ m_A22 += go[29];
+ m_A24 += go[30];
+ m_A23 += go[31];
+ double RHS7 = Idr[29];
+ RHS7 += Idr[30];
+ RHS7 += Idr[31];
+ RHS7 += Idr[32];
+ RHS7 += Idr[33];
+ RHS7 -= go[32] * *cnV[32];
+ RHS7 -= go[33] * *cnV[33];
+ m_A27 += gt[34];
+ m_A27 += gt[35];
+ m_A27 += gt[36];
+ m_A26 += go[34];
+ m_A25 += go[35];
+ double RHS8 = Idr[34];
+ RHS8 += Idr[35];
+ RHS8 += Idr[36];
+ RHS8 -= go[36] * *cnV[36];
+ m_A32 += gt[37];
+ m_A32 += gt[38];
+ m_A32 += gt[39];
+ m_A30 += go[37];
+ double RHS9 = Idr[37];
+ RHS9 += Idr[38];
+ RHS9 += Idr[39];
+ RHS9 -= go[38] * *cnV[38];
+ RHS9 -= go[39] * *cnV[39];
+ m_A38 += gt[40];
+ m_A38 += gt[41];
+ m_A34 += go[40];
+ m_A35 += go[41];
+ double RHS10 = Idr[40];
+ RHS10 += Idr[41];
+ m_A42 += gt[42];
+ m_A42 += gt[43];
+ m_A42 += gt[44];
+ m_A42 += gt[45];
+ m_A42 += gt[46];
+ m_A42 += gt[47];
+ m_A42 += gt[48];
+ m_A41 += go[42];
+ m_A43 += go[43];
+ double RHS11 = Idr[42];
+ RHS11 += Idr[43];
+ RHS11 += Idr[44];
+ RHS11 += Idr[45];
+ RHS11 += Idr[46];
+ RHS11 += Idr[47];
+ RHS11 += Idr[48];
+ RHS11 -= go[44] * *cnV[44];
+ RHS11 -= go[45] * *cnV[45];
+ RHS11 -= go[46] * *cnV[46];
+ RHS11 -= go[47] * *cnV[47];
+ RHS11 -= go[48] * *cnV[48];
+ m_A49 += gt[49];
+ m_A49 += gt[50];
+ m_A49 += gt[51];
+ m_A45 += go[49];
+ m_A46 += go[50];
+ m_A44 += go[51];
+ double RHS12 = Idr[49];
+ RHS12 += Idr[50];
+ RHS12 += Idr[51];
+ m_A53 += gt[52];
+ m_A53 += gt[53];
+ m_A53 += gt[54];
+ m_A53 += gt[55];
+ m_A53 += gt[56];
+ m_A53 += gt[57];
+ m_A53 += gt[58];
+ m_A54 += go[52];
+ m_A55 += go[53];
+ double RHS13 = Idr[52];
+ RHS13 += Idr[53];
+ RHS13 += Idr[54];
+ RHS13 += Idr[55];
+ RHS13 += Idr[56];
+ RHS13 += Idr[57];
+ RHS13 += Idr[58];
+ RHS13 -= go[54] * *cnV[54];
+ RHS13 -= go[55] * *cnV[55];
+ RHS13 -= go[56] * *cnV[56];
+ RHS13 -= go[57] * *cnV[57];
+ RHS13 -= go[58] * *cnV[58];
+ m_A57 += gt[59];
+ m_A57 += gt[60];
+ m_A57 += gt[61];
+ m_A58 += go[59];
+ m_A56 += go[60];
+ double RHS14 = Idr[59];
+ RHS14 += Idr[60];
+ RHS14 += Idr[61];
+ RHS14 -= go[61] * *cnV[61];
+ m_A62 += gt[62];
+ m_A62 += gt[63];
+ m_A60 += go[62];
+ m_A59 += go[63];
+ double RHS15 = Idr[62];
+ RHS15 += Idr[63];
+ m_A68 += gt[64];
+ m_A68 += gt[65];
+ m_A68 += gt[66];
+ m_A70 += go[64];
+ m_A65 += go[65];
+ double RHS16 = Idr[64];
+ RHS16 += Idr[65];
+ RHS16 += Idr[66];
+ RHS16 -= go[66] * *cnV[66];
+ m_A77 += gt[67];
+ m_A77 += gt[68];
+ m_A77 += gt[69];
+ m_A77 += gt[70];
+ m_A77 += gt[71];
+ m_A77 += gt[72];
+ m_A77 += gt[73];
+ m_A73 += go[67];
+ m_A71 += go[68];
+ double RHS17 = Idr[67];
+ RHS17 += Idr[68];
+ RHS17 += Idr[69];
+ RHS17 += Idr[70];
+ RHS17 += Idr[71];
+ RHS17 += Idr[72];
+ RHS17 += Idr[73];
+ RHS17 -= go[69] * *cnV[69];
+ RHS17 -= go[70] * *cnV[70];
+ RHS17 -= go[71] * *cnV[71];
+ RHS17 -= go[72] * *cnV[72];
+ RHS17 -= go[73] * *cnV[73];
+ m_A88 += gt[74];
+ m_A88 += gt[75];
+ m_A88 += gt[76];
+ m_A88 += gt[77];
+ m_A86 += go[74];
+ m_A84 += go[75];
+ m_A79 += go[76];
+ m_A80 += go[77];
+ double RHS18 = Idr[74];
+ RHS18 += Idr[75];
+ RHS18 += Idr[76];
+ RHS18 += Idr[77];
+ const double f0 = 1.0 / m_A0;
+ const double f0_15 = -f0 * m_A59;
+ m_A62 += m_A1 * f0_15;
+ RHS15 += f0_15 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_8 = -f1 * m_A25;
+ m_A27 += m_A3 * f1_8;
+ RHS8 += f1_8 * RHS1;
+ const double f2 = 1.0 / m_A4;
+ const double f2_8 = -f2 * m_A26;
+ m_A27 += m_A5 * f2_8;
+ m_A28 += m_A6 * f2_8;
+ m_A29 += m_A7 * f2_8;
+ RHS8 += f2_8 * RHS2;
+ const double f2_9 = -f2 * m_A30;
+ m_A31 += m_A5 * f2_9;
+ m_A32 += m_A6 * f2_9;
+ m_A33 += m_A7 * f2_9;
+ RHS9 += f2_9 * RHS2;
+ const double f2_10 = -f2 * m_A34;
+ m_A36 += m_A5 * f2_10;
+ m_A37 += m_A6 * f2_10;
+ m_A38 += m_A7 * f2_10;
+ RHS10 += f2_10 * RHS2;
+ const double f2_17 = -f2 * m_A71;
+ m_A72 += m_A5 * f2_17;
+ m_A73 += m_A6 * f2_17;
+ m_A74 += m_A7 * f2_17;
+ RHS17 += f2_17 * RHS2;
+ const double f3 = 1.0 / m_A8;
+ const double f3_10 = -f3 * m_A35;
+ m_A38 += m_A9 * f3_10;
+ m_A39 += m_A10 * f3_10;
+ m_A40 += m_A11 * f3_10;
+ RHS10 += f3_10 * RHS3;
+ const double f3_12 = -f3 * m_A44;
+ m_A47 += m_A9 * f3_12;
+ m_A49 += m_A10 * f3_12;
+ m_A51 += m_A11 * f3_12;
+ RHS12 += f3_12 * RHS3;
+ const double f4 = 1.0 / m_A12;
+ const double f4_11 = -f4 * m_A41;
+ m_A43 += m_A13 * f4_11;
+ RHS11 += f4_11 * RHS4;
+ const double f4_12 = -f4 * m_A45;
+ m_A49 += m_A13 * f4_12;
+ RHS12 += f4_12 * RHS4;
+ const double f5 = 1.0 / m_A14;
+ const double f5_14 = -f5 * m_A56;
+ m_A57 += m_A15 * f5_14;
+ RHS14 += f5_14 * RHS5;
+ const double f6 = 1.0 / m_A16;
+ const double f6_12 = -f6 * m_A46;
+ m_A48 += m_A17 * f6_12;
+ m_A49 += m_A18 * f6_12;
+ m_A50 += m_A19 * f6_12;
+ m_A52 += m_A20 * f6_12;
+ RHS12 += f6_12 * RHS6;
+ const double f6_16 = -f6 * m_A65;
+ m_A66 += m_A17 * f6_16;
+ m_A67 += m_A18 * f6_16;
+ m_A68 += m_A19 * f6_16;
+ m_A70 += m_A20 * f6_16;
+ RHS16 += f6_16 * RHS6;
+ const double f6_18 = -f6 * m_A79;
+ m_A81 += m_A17 * f6_18;
+ m_A82 += m_A18 * f6_18;
+ m_A86 += m_A19 * f6_18;
+ m_A88 += m_A20 * f6_18;
+ RHS18 += f6_18 * RHS6;
+ const double f7 = 1.0 / m_A21;
+ const double f7_15 = -f7 * m_A60;
+ m_A61 += m_A22 * f7_15;
+ m_A62 += m_A23 * f7_15;
+ m_A64 += m_A24 * f7_15;
+ RHS15 += f7_15 * RHS7;
+ const double f7_18 = -f7 * m_A80;
+ m_A83 += m_A22 * f7_18;
+ m_A85 += m_A23 * f7_18;
+ m_A88 += m_A24 * f7_18;
+ RHS18 += f7_18 * RHS7;
+ const double f8 = 1.0 / m_A27;
+ const double f8_9 = -f8 * m_A31;
+ m_A32 += m_A28 * f8_9;
+ m_A33 += m_A29 * f8_9;
+ RHS9 += f8_9 * RHS8;
+ const double f8_10 = -f8 * m_A36;
+ m_A37 += m_A28 * f8_10;
+ m_A38 += m_A29 * f8_10;
+ RHS10 += f8_10 * RHS8;
+ const double f8_17 = -f8 * m_A72;
+ m_A73 += m_A28 * f8_17;
+ m_A74 += m_A29 * f8_17;
+ RHS17 += f8_17 * RHS8;
+ const double f9 = 1.0 / m_A32;
+ const double f9_10 = -f9 * m_A37;
+ m_A38 += m_A33 * f9_10;
+ RHS10 += f9_10 * RHS9;
+ const double f9_17 = -f9 * m_A73;
+ m_A74 += m_A33 * f9_17;
+ RHS17 += f9_17 * RHS9;
+ const double f10 = 1.0 / m_A38;
+ const double f10_12 = -f10 * m_A47;
+ m_A49 += m_A39 * f10_12;
+ m_A51 += m_A40 * f10_12;
+ RHS12 += f10_12 * RHS10;
+ const double f10_17 = -f10 * m_A74;
+ m_A75 += m_A39 * f10_17;
+ m_A77 += m_A40 * f10_17;
+ RHS17 += f10_17 * RHS10;
+ const double f11 = 1.0 / m_A42;
+ const double f11_12 = -f11 * m_A48;
+ m_A49 += m_A43 * f11_12;
+ RHS12 += f11_12 * RHS11;
+ const double f11_16 = -f11 * m_A66;
+ m_A67 += m_A43 * f11_16;
+ RHS16 += f11_16 * RHS11;
+ const double f11_18 = -f11 * m_A81;
+ m_A82 += m_A43 * f11_18;
+ RHS18 += f11_18 * RHS11;
+ const double f12 = 1.0 / m_A49;
+ const double f12_16 = -f12 * m_A67;
+ m_A68 += m_A50 * f12_16;
+ m_A69 += m_A51 * f12_16;
+ m_A70 += m_A52 * f12_16;
+ RHS16 += f12_16 * RHS12;
+ const double f12_17 = -f12 * m_A75;
+ m_A76 += m_A50 * f12_17;
+ m_A77 += m_A51 * f12_17;
+ m_A78 += m_A52 * f12_17;
+ RHS17 += f12_17 * RHS12;
+ const double f12_18 = -f12 * m_A82;
+ m_A86 += m_A50 * f12_18;
+ m_A87 += m_A51 * f12_18;
+ m_A88 += m_A52 * f12_18;
+ RHS18 += f12_18 * RHS12;
+ const double f13 = 1.0 / m_A53;
+ const double f13_15 = -f13 * m_A61;
+ m_A63 += m_A54 * f13_15;
+ m_A64 += m_A55 * f13_15;
+ RHS15 += f13_15 * RHS13;
+ const double f13_18 = -f13 * m_A83;
+ m_A86 += m_A54 * f13_18;
+ m_A88 += m_A55 * f13_18;
+ RHS18 += f13_18 * RHS13;
+ const double f14 = 1.0 / m_A57;
+ const double f14_18 = -f14 * m_A84;
+ m_A88 += m_A58 * f14_18;
+ RHS18 += f14_18 * RHS14;
+ const double f15 = 1.0 / m_A62;
+ const double f15_18 = -f15 * m_A85;
+ m_A86 += m_A63 * f15_18;
+ m_A88 += m_A64 * f15_18;
+ RHS18 += f15_18 * RHS15;
+ const double f16 = 1.0 / m_A68;
+ const double f16_17 = -f16 * m_A76;
+ m_A77 += m_A69 * f16_17;
+ m_A78 += m_A70 * f16_17;
+ RHS17 += f16_17 * RHS16;
+ const double f16_18 = -f16 * m_A86;
+ m_A87 += m_A69 * f16_18;
+ m_A88 += m_A70 * f16_18;
+ RHS18 += f16_18 * RHS16;
+ const double f17 = 1.0 / m_A77;
+ const double f17_18 = -f17 * m_A87;
+ m_A88 += m_A78 * f17_18;
+ RHS18 += f17_18 * RHS17;
+ V[18] = RHS18 / m_A88;
+ double tmp17 = 0.0;
+ tmp17 += m_A78 * V[18];
+ V[17] = (RHS17 - tmp17) / m_A77;
+ double tmp16 = 0.0;
+ tmp16 += m_A69 * V[17];
+ tmp16 += m_A70 * V[18];
+ V[16] = (RHS16 - tmp16) / m_A68;
+ double tmp15 = 0.0;
+ tmp15 += m_A63 * V[16];
+ tmp15 += m_A64 * V[18];
+ V[15] = (RHS15 - tmp15) / m_A62;
+ double tmp14 = 0.0;
+ tmp14 += m_A58 * V[18];
+ V[14] = (RHS14 - tmp14) / m_A57;
+ double tmp13 = 0.0;
+ tmp13 += m_A54 * V[16];
+ tmp13 += m_A55 * V[18];
+ V[13] = (RHS13 - tmp13) / m_A53;
+ double tmp12 = 0.0;
+ tmp12 += m_A50 * V[16];
+ tmp12 += m_A51 * V[17];
+ tmp12 += m_A52 * V[18];
+ V[12] = (RHS12 - tmp12) / m_A49;
+ double tmp11 = 0.0;
+ tmp11 += m_A43 * V[12];
+ V[11] = (RHS11 - tmp11) / m_A42;
+ double tmp10 = 0.0;
+ tmp10 += m_A39 * V[12];
+ tmp10 += m_A40 * V[17];
+ V[10] = (RHS10 - tmp10) / m_A38;
+ double tmp9 = 0.0;
+ tmp9 += m_A33 * V[10];
+ V[9] = (RHS9 - tmp9) / m_A32;
+ double tmp8 = 0.0;
+ tmp8 += m_A28 * V[9];
+ tmp8 += m_A29 * V[10];
+ V[8] = (RHS8 - tmp8) / m_A27;
+ double tmp7 = 0.0;
+ tmp7 += m_A22 * V[13];
+ tmp7 += m_A23 * V[15];
+ tmp7 += m_A24 * V[18];
+ V[7] = (RHS7 - tmp7) / m_A21;
+ double tmp6 = 0.0;
+ tmp6 += m_A17 * V[11];
+ tmp6 += m_A18 * V[12];
+ tmp6 += m_A19 * V[16];
+ tmp6 += m_A20 * V[18];
+ V[6] = (RHS6 - tmp6) / m_A16;
+ double tmp5 = 0.0;
+ tmp5 += m_A15 * V[14];
+ V[5] = (RHS5 - tmp5) / m_A14;
+ double tmp4 = 0.0;
+ tmp4 += m_A13 * V[12];
+ V[4] = (RHS4 - tmp4) / m_A12;
+ double tmp3 = 0.0;
+ tmp3 += m_A9 * V[10];
+ tmp3 += m_A10 * V[12];
+ tmp3 += m_A11 * V[17];
+ V[3] = (RHS3 - tmp3) / m_A8;
+ double tmp2 = 0.0;
+ tmp2 += m_A5 * V[8];
+ tmp2 += m_A6 * V[9];
+ tmp2 += m_A7 * V[10];
+ V[2] = (RHS2 - tmp2) / m_A4;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[8];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[15];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// sspeedr
static void nl_gcr_3e833834e5ce5aee_13_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -12695,6 +13506,514 @@ static void nl_gcr_4334c95878d1be92_399_double_double(double * __restrict V, con
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_437326911721091_77_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ double m_A75(0.0);
+ double m_A76(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 -= go[1] * *cnV[1];
+ m_A2 += gt[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A2 += gt[6];
+ m_A4 += go[2];
+ m_A5 += go[3];
+ m_A3 += go[4];
+ double RHS1 = Idr[2];
+ RHS1 += Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 += Idr[6];
+ RHS1 -= go[5] * *cnV[5];
+ RHS1 -= go[6] * *cnV[6];
+ m_A6 += gt[7];
+ m_A6 += gt[8];
+ m_A6 += gt[9];
+ m_A7 += go[7];
+ double RHS2 = Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 -= go[8] * *cnV[8];
+ RHS2 -= go[9] * *cnV[9];
+ m_A8 += gt[10];
+ m_A8 += gt[11];
+ m_A10 += go[10];
+ m_A9 += go[11];
+ double RHS3 = Idr[10];
+ RHS3 += Idr[11];
+ m_A11 += gt[12];
+ m_A11 += gt[13];
+ m_A11 += gt[14];
+ m_A11 += gt[15];
+ m_A12 += go[12];
+ double RHS4 = Idr[12];
+ RHS4 += Idr[13];
+ RHS4 += Idr[14];
+ RHS4 += Idr[15];
+ RHS4 -= go[13] * *cnV[13];
+ RHS4 -= go[14] * *cnV[14];
+ RHS4 -= go[15] * *cnV[15];
+ m_A13 += gt[16];
+ m_A13 += gt[17];
+ m_A13 += gt[18];
+ m_A13 += gt[19];
+ m_A13 += gt[20];
+ m_A16 += go[16];
+ m_A15 += go[17];
+ m_A14 += go[18];
+ double RHS5 = Idr[16];
+ RHS5 += Idr[17];
+ RHS5 += Idr[18];
+ RHS5 += Idr[19];
+ RHS5 += Idr[20];
+ RHS5 -= go[19] * *cnV[19];
+ RHS5 -= go[20] * *cnV[20];
+ m_A17 += gt[21];
+ m_A17 += gt[22];
+ m_A17 += gt[23];
+ m_A18 += go[21];
+ double RHS6 = Idr[21];
+ RHS6 += Idr[22];
+ RHS6 += Idr[23];
+ RHS6 -= go[22] * *cnV[22];
+ RHS6 -= go[23] * *cnV[23];
+ m_A19 += gt[24];
+ m_A19 += gt[25];
+ m_A19 += gt[26];
+ m_A19 += gt[27];
+ m_A20 += go[24];
+ double RHS7 = Idr[24];
+ RHS7 += Idr[25];
+ RHS7 += Idr[26];
+ RHS7 += Idr[27];
+ RHS7 -= go[25] * *cnV[25];
+ RHS7 -= go[26] * *cnV[26];
+ RHS7 -= go[27] * *cnV[27];
+ m_A23 += gt[28];
+ m_A23 += gt[29];
+ m_A23 += gt[30];
+ m_A21 += go[28];
+ m_A22 += go[29];
+ double RHS8 = Idr[28];
+ RHS8 += Idr[29];
+ RHS8 += Idr[30];
+ RHS8 -= go[30] * *cnV[30];
+ m_A28 += gt[31];
+ m_A28 += gt[32];
+ m_A28 += gt[33];
+ m_A28 += gt[34];
+ m_A28 += gt[35];
+ m_A28 += gt[36];
+ m_A28 += gt[37];
+ m_A29 += go[31];
+ m_A26 += go[32];
+ double RHS9 = Idr[31];
+ RHS9 += Idr[32];
+ RHS9 += Idr[33];
+ RHS9 += Idr[34];
+ RHS9 += Idr[35];
+ RHS9 += Idr[36];
+ RHS9 += Idr[37];
+ RHS9 -= go[33] * *cnV[33];
+ RHS9 -= go[34] * *cnV[34];
+ RHS9 -= go[35] * *cnV[35];
+ RHS9 -= go[36] * *cnV[36];
+ RHS9 -= go[37] * *cnV[37];
+ m_A31 += gt[38];
+ m_A31 += gt[39];
+ m_A31 += gt[40];
+ m_A31 += gt[41];
+ m_A31 += gt[42];
+ m_A31 += gt[43];
+ m_A31 += gt[44];
+ m_A32 += go[38];
+ m_A30 += go[39];
+ double RHS10 = Idr[38];
+ RHS10 += Idr[39];
+ RHS10 += Idr[40];
+ RHS10 += Idr[41];
+ RHS10 += Idr[42];
+ RHS10 += Idr[43];
+ RHS10 += Idr[44];
+ RHS10 -= go[40] * *cnV[40];
+ RHS10 -= go[41] * *cnV[41];
+ RHS10 -= go[42] * *cnV[42];
+ RHS10 -= go[43] * *cnV[43];
+ RHS10 -= go[44] * *cnV[44];
+ m_A35 += gt[45];
+ m_A35 += gt[46];
+ m_A35 += gt[47];
+ m_A35 += gt[48];
+ m_A36 += go[45];
+ m_A34 += go[46];
+ m_A33 += go[47];
+ double RHS11 = Idr[45];
+ RHS11 += Idr[46];
+ RHS11 += Idr[47];
+ RHS11 += Idr[48];
+ RHS11 -= go[48] * *cnV[48];
+ m_A42 += gt[49];
+ m_A42 += gt[50];
+ m_A42 += gt[51];
+ m_A39 += go[49];
+ m_A45 += go[50];
+ m_A38 += go[51];
+ double RHS12 = Idr[49];
+ RHS12 += Idr[50];
+ RHS12 += Idr[51];
+ m_A48 += gt[52];
+ m_A48 += gt[53];
+ m_A46 += go[52];
+ m_A51 += go[53];
+ double RHS13 = Idr[52];
+ RHS13 += Idr[53];
+ m_A53 += gt[54];
+ m_A53 += gt[55];
+ m_A53 += gt[56];
+ m_A53 += gt[57];
+ m_A53 += gt[58];
+ m_A53 += gt[59];
+ m_A53 += gt[60];
+ m_A54 += go[54];
+ m_A52 += go[55];
+ double RHS14 = Idr[54];
+ RHS14 += Idr[55];
+ RHS14 += Idr[56];
+ RHS14 += Idr[57];
+ RHS14 += Idr[58];
+ RHS14 += Idr[59];
+ RHS14 += Idr[60];
+ RHS14 -= go[56] * *cnV[56];
+ RHS14 -= go[57] * *cnV[57];
+ RHS14 -= go[58] * *cnV[58];
+ RHS14 -= go[59] * *cnV[59];
+ RHS14 -= go[60] * *cnV[60];
+ m_A56 += gt[61];
+ m_A56 += gt[62];
+ m_A56 += gt[63];
+ m_A55 += go[61];
+ m_A57 += go[62];
+ double RHS15 = Idr[61];
+ RHS15 += Idr[62];
+ RHS15 += Idr[63];
+ RHS15 -= go[63] * *cnV[63];
+ m_A60 += gt[64];
+ m_A60 += gt[65];
+ m_A60 += gt[66];
+ m_A58 += go[64];
+ m_A59 += go[65];
+ double RHS16 = Idr[64];
+ RHS16 += Idr[65];
+ RHS16 += Idr[66];
+ RHS16 -= go[66] * *cnV[66];
+ m_A69 += gt[67];
+ m_A69 += gt[68];
+ m_A69 += gt[69];
+ m_A69 += gt[70];
+ m_A69 += gt[71];
+ m_A63 += go[67];
+ m_A62 += go[68];
+ m_A65 += go[69];
+ double RHS17 = Idr[67];
+ RHS17 += Idr[68];
+ RHS17 += Idr[69];
+ RHS17 += Idr[70];
+ RHS17 += Idr[71];
+ RHS17 -= go[70] * *cnV[70];
+ RHS17 -= go[71] * *cnV[71];
+ m_A76 += gt[72];
+ m_A76 += gt[73];
+ m_A76 += gt[74];
+ m_A76 += gt[75];
+ m_A74 += go[72];
+ m_A72 += go[73];
+ m_A71 += go[74];
+ double RHS18 = Idr[72];
+ RHS18 += Idr[73];
+ RHS18 += Idr[74];
+ RHS18 += Idr[75];
+ RHS18 -= go[75] * *cnV[75];
+ const double f0 = 1.0 / m_A0;
+ const double f0_8 = -f0 * m_A21;
+ m_A23 += m_A1 * f0_8;
+ RHS8 += f0_8 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_8 = -f1 * m_A22;
+ m_A23 += m_A3 * f1_8;
+ m_A24 += m_A4 * f1_8;
+ m_A25 += m_A5 * f1_8;
+ RHS8 += f1_8 * RHS1;
+ const double f1_9 = -f1 * m_A26;
+ m_A27 += m_A3 * f1_9;
+ m_A28 += m_A4 * f1_9;
+ m_A29 += m_A5 * f1_9;
+ RHS9 += f1_9 * RHS1;
+ const double f1_12 = -f1 * m_A38;
+ m_A40 += m_A3 * f1_12;
+ m_A41 += m_A4 * f1_12;
+ m_A42 += m_A5 * f1_12;
+ RHS12 += f1_12 * RHS1;
+ const double f2 = 1.0 / m_A6;
+ const double f2_10 = -f2 * m_A30;
+ m_A32 += m_A7 * f2_10;
+ RHS10 += f2_10 * RHS2;
+ const double f2_11 = -f2 * m_A33;
+ m_A35 += m_A7 * f2_11;
+ RHS11 += f2_11 * RHS2;
+ const double f3 = 1.0 / m_A8;
+ const double f3_11 = -f3 * m_A34;
+ m_A35 += m_A9 * f3_11;
+ m_A37 += m_A10 * f3_11;
+ RHS11 += f3_11 * RHS3;
+ const double f3_17 = -f3 * m_A62;
+ m_A64 += m_A9 * f3_17;
+ m_A69 += m_A10 * f3_17;
+ RHS17 += f3_17 * RHS3;
+ const double f4 = 1.0 / m_A11;
+ const double f4_16 = -f4 * m_A58;
+ m_A60 += m_A12 * f4_16;
+ RHS16 += f4_16 * RHS4;
+ const double f5 = 1.0 / m_A13;
+ const double f5_12 = -f5 * m_A39;
+ m_A42 += m_A14 * f5_12;
+ m_A43 += m_A15 * f5_12;
+ m_A44 += m_A16 * f5_12;
+ RHS12 += f5_12 * RHS5;
+ const double f5_13 = -f5 * m_A46;
+ m_A47 += m_A14 * f5_13;
+ m_A48 += m_A15 * f5_13;
+ m_A49 += m_A16 * f5_13;
+ RHS13 += f5_13 * RHS5;
+ const double f6 = 1.0 / m_A17;
+ const double f6_14 = -f6 * m_A52;
+ m_A54 += m_A18 * f6_14;
+ RHS14 += f6_14 * RHS6;
+ const double f6_18 = -f6 * m_A71;
+ m_A76 += m_A18 * f6_18;
+ RHS18 += f6_18 * RHS6;
+ const double f7 = 1.0 / m_A19;
+ const double f7_15 = -f7 * m_A55;
+ m_A56 += m_A20 * f7_15;
+ RHS15 += f7_15 * RHS7;
+ const double f8 = 1.0 / m_A23;
+ const double f8_9 = -f8 * m_A27;
+ m_A28 += m_A24 * f8_9;
+ m_A29 += m_A25 * f8_9;
+ RHS9 += f8_9 * RHS8;
+ const double f8_12 = -f8 * m_A40;
+ m_A41 += m_A24 * f8_12;
+ m_A42 += m_A25 * f8_12;
+ RHS12 += f8_12 * RHS8;
+ const double f9 = 1.0 / m_A28;
+ const double f9_12 = -f9 * m_A41;
+ m_A42 += m_A29 * f9_12;
+ RHS12 += f9_12 * RHS9;
+ const double f10 = 1.0 / m_A31;
+ const double f10_17 = -f10 * m_A63;
+ m_A64 += m_A32 * f10_17;
+ RHS17 += f10_17 * RHS10;
+ const double f11 = 1.0 / m_A35;
+ const double f11_16 = -f11 * m_A59;
+ m_A60 += m_A36 * f11_16;
+ m_A61 += m_A37 * f11_16;
+ RHS16 += f11_16 * RHS11;
+ const double f11_17 = -f11 * m_A64;
+ m_A68 += m_A36 * f11_17;
+ m_A69 += m_A37 * f11_17;
+ RHS17 += f11_17 * RHS11;
+ const double f12 = 1.0 / m_A42;
+ const double f12_13 = -f12 * m_A47;
+ m_A48 += m_A43 * f12_13;
+ m_A49 += m_A44 * f12_13;
+ m_A50 += m_A45 * f12_13;
+ RHS13 += f12_13 * RHS12;
+ const double f12_17 = -f12 * m_A65;
+ m_A66 += m_A43 * f12_17;
+ m_A67 += m_A44 * f12_17;
+ m_A69 += m_A45 * f12_17;
+ RHS17 += f12_17 * RHS12;
+ const double f13 = 1.0 / m_A48;
+ const double f13_17 = -f13 * m_A66;
+ m_A67 += m_A49 * f13_17;
+ m_A69 += m_A50 * f13_17;
+ m_A70 += m_A51 * f13_17;
+ RHS17 += f13_17 * RHS13;
+ const double f13_18 = -f13 * m_A72;
+ m_A73 += m_A49 * f13_18;
+ m_A75 += m_A50 * f13_18;
+ m_A76 += m_A51 * f13_18;
+ RHS18 += f13_18 * RHS13;
+ const double f14 = 1.0 / m_A53;
+ const double f14_17 = -f14 * m_A67;
+ m_A70 += m_A54 * f14_17;
+ RHS17 += f14_17 * RHS14;
+ const double f14_18 = -f14 * m_A73;
+ m_A76 += m_A54 * f14_18;
+ RHS18 += f14_18 * RHS14;
+ const double f15 = 1.0 / m_A56;
+ const double f15_18 = -f15 * m_A74;
+ m_A76 += m_A57 * f15_18;
+ RHS18 += f15_18 * RHS15;
+ const double f16 = 1.0 / m_A60;
+ const double f16_17 = -f16 * m_A68;
+ m_A69 += m_A61 * f16_17;
+ RHS17 += f16_17 * RHS16;
+ const double f17 = 1.0 / m_A69;
+ const double f17_18 = -f17 * m_A75;
+ m_A76 += m_A70 * f17_18;
+ RHS18 += f17_18 * RHS17;
+ V[18] = RHS18 / m_A76;
+ double tmp17 = 0.0;
+ tmp17 += m_A70 * V[18];
+ V[17] = (RHS17 - tmp17) / m_A69;
+ double tmp16 = 0.0;
+ tmp16 += m_A61 * V[17];
+ V[16] = (RHS16 - tmp16) / m_A60;
+ double tmp15 = 0.0;
+ tmp15 += m_A57 * V[18];
+ V[15] = (RHS15 - tmp15) / m_A56;
+ double tmp14 = 0.0;
+ tmp14 += m_A54 * V[18];
+ V[14] = (RHS14 - tmp14) / m_A53;
+ double tmp13 = 0.0;
+ tmp13 += m_A49 * V[14];
+ tmp13 += m_A50 * V[17];
+ tmp13 += m_A51 * V[18];
+ V[13] = (RHS13 - tmp13) / m_A48;
+ double tmp12 = 0.0;
+ tmp12 += m_A43 * V[13];
+ tmp12 += m_A44 * V[14];
+ tmp12 += m_A45 * V[17];
+ V[12] = (RHS12 - tmp12) / m_A42;
+ double tmp11 = 0.0;
+ tmp11 += m_A36 * V[16];
+ tmp11 += m_A37 * V[17];
+ V[11] = (RHS11 - tmp11) / m_A35;
+ double tmp10 = 0.0;
+ tmp10 += m_A32 * V[11];
+ V[10] = (RHS10 - tmp10) / m_A31;
+ double tmp9 = 0.0;
+ tmp9 += m_A29 * V[12];
+ V[9] = (RHS9 - tmp9) / m_A28;
+ double tmp8 = 0.0;
+ tmp8 += m_A24 * V[9];
+ tmp8 += m_A25 * V[12];
+ V[8] = (RHS8 - tmp8) / m_A23;
+ double tmp7 = 0.0;
+ tmp7 += m_A20 * V[15];
+ V[7] = (RHS7 - tmp7) / m_A19;
+ double tmp6 = 0.0;
+ tmp6 += m_A18 * V[18];
+ V[6] = (RHS6 - tmp6) / m_A17;
+ double tmp5 = 0.0;
+ tmp5 += m_A14 * V[12];
+ tmp5 += m_A15 * V[13];
+ tmp5 += m_A16 * V[14];
+ V[5] = (RHS5 - tmp5) / m_A13;
+ double tmp4 = 0.0;
+ tmp4 += m_A12 * V[16];
+ V[4] = (RHS4 - tmp4) / m_A11;
+ double tmp3 = 0.0;
+ tmp3 += m_A9 * V[11];
+ tmp3 += m_A10 * V[17];
+ V[3] = (RHS3 - tmp3) / m_A8;
+ double tmp2 = 0.0;
+ tmp2 += m_A7 * V[11];
+ V[2] = (RHS2 - tmp2) / m_A6;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[8];
+ tmp1 += m_A4 * V[9];
+ tmp1 += m_A5 * V[12];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[8];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// kidniki
static void nl_gcr_43f7ff9bc651cc7a_198_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -18040,7 +19359,7 @@ static void nl_gcr_546396f65ce48700_12_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
-// dpatrol
+// brdrline
static void nl_gcr_59cb6bf7cb9d17dc_7_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
{
@@ -28796,6 +30115,515 @@ static void nl_gcr_743595e64cee0a5e_112_double_double(double * __restrict V, con
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_75400df5d559a266_75_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A3 += go[3];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 -= go[4] * *cnV[4];
+ RHS1 -= go[5] * *cnV[5];
+ m_A4 += gt[6];
+ m_A4 += gt[7];
+ m_A4 += gt[8];
+ m_A4 += gt[9];
+ m_A5 += go[6];
+ double RHS2 = Idr[6];
+ RHS2 += Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 -= go[7] * *cnV[7];
+ RHS2 -= go[8] * *cnV[8];
+ RHS2 -= go[9] * *cnV[9];
+ m_A6 += gt[10];
+ m_A6 += gt[11];
+ m_A8 += go[10];
+ m_A7 += go[11];
+ double RHS3 = Idr[10];
+ RHS3 += Idr[11];
+ m_A9 += gt[12];
+ m_A9 += gt[13];
+ m_A9 += gt[14];
+ m_A10 += go[12];
+ double RHS4 = Idr[12];
+ RHS4 += Idr[13];
+ RHS4 += Idr[14];
+ RHS4 -= go[13] * *cnV[13];
+ RHS4 -= go[14] * *cnV[14];
+ m_A11 += gt[15];
+ m_A11 += gt[16];
+ m_A11 += gt[17];
+ m_A11 += gt[18];
+ m_A11 += gt[19];
+ m_A11 += gt[20];
+ m_A12 += go[15];
+ m_A15 += go[16];
+ m_A14 += go[17];
+ m_A13 += go[18];
+ double RHS5 = Idr[15];
+ RHS5 += Idr[16];
+ RHS5 += Idr[17];
+ RHS5 += Idr[18];
+ RHS5 += Idr[19];
+ RHS5 += Idr[20];
+ RHS5 -= go[19] * *cnV[19];
+ RHS5 -= go[20] * *cnV[20];
+ m_A16 += gt[21];
+ m_A16 += gt[22];
+ m_A16 += gt[23];
+ m_A16 += gt[24];
+ m_A16 += gt[25];
+ m_A16 += gt[26];
+ m_A16 += gt[27];
+ m_A18 += go[21];
+ m_A17 += go[22];
+ double RHS6 = Idr[21];
+ RHS6 += Idr[22];
+ RHS6 += Idr[23];
+ RHS6 += Idr[24];
+ RHS6 += Idr[25];
+ RHS6 += Idr[26];
+ RHS6 += Idr[27];
+ RHS6 -= go[23] * *cnV[23];
+ RHS6 -= go[24] * *cnV[24];
+ RHS6 -= go[25] * *cnV[25];
+ RHS6 -= go[26] * *cnV[26];
+ RHS6 -= go[27] * *cnV[27];
+ m_A19 += gt[28];
+ m_A19 += gt[29];
+ m_A19 += gt[30];
+ m_A19 += gt[31];
+ m_A20 += go[28];
+ double RHS7 = Idr[28];
+ RHS7 += Idr[29];
+ RHS7 += Idr[30];
+ RHS7 += Idr[31];
+ RHS7 -= go[29] * *cnV[29];
+ RHS7 -= go[30] * *cnV[30];
+ RHS7 -= go[31] * *cnV[31];
+ m_A22 += gt[32];
+ m_A22 += gt[33];
+ m_A22 += gt[34];
+ m_A22 += gt[35];
+ m_A22 += gt[36];
+ m_A22 += gt[37];
+ m_A22 += gt[38];
+ m_A23 += go[32];
+ m_A21 += go[33];
+ double RHS8 = Idr[32];
+ RHS8 += Idr[33];
+ RHS8 += Idr[34];
+ RHS8 += Idr[35];
+ RHS8 += Idr[36];
+ RHS8 += Idr[37];
+ RHS8 += Idr[38];
+ RHS8 -= go[34] * *cnV[34];
+ RHS8 -= go[35] * *cnV[35];
+ RHS8 -= go[36] * *cnV[36];
+ RHS8 -= go[37] * *cnV[37];
+ RHS8 -= go[38] * *cnV[38];
+ m_A25 += gt[39];
+ m_A25 += gt[40];
+ m_A25 += gt[41];
+ m_A24 += go[39];
+ m_A26 += go[40];
+ double RHS9 = Idr[39];
+ RHS9 += Idr[40];
+ RHS9 += Idr[41];
+ RHS9 -= go[41] * *cnV[41];
+ m_A28 += gt[42];
+ m_A28 += gt[43];
+ m_A28 += gt[44];
+ m_A28 += gt[45];
+ m_A28 += gt[46];
+ m_A28 += gt[47];
+ m_A28 += gt[48];
+ m_A29 += go[42];
+ m_A27 += go[43];
+ double RHS10 = Idr[42];
+ RHS10 += Idr[43];
+ RHS10 += Idr[44];
+ RHS10 += Idr[45];
+ RHS10 += Idr[46];
+ RHS10 += Idr[47];
+ RHS10 += Idr[48];
+ RHS10 -= go[44] * *cnV[44];
+ RHS10 -= go[45] * *cnV[45];
+ RHS10 -= go[46] * *cnV[46];
+ RHS10 -= go[47] * *cnV[47];
+ RHS10 -= go[48] * *cnV[48];
+ m_A33 += gt[49];
+ m_A33 += gt[50];
+ m_A33 += gt[51];
+ m_A31 += go[49];
+ m_A30 += go[50];
+ m_A35 += go[51];
+ double RHS11 = Idr[49];
+ RHS11 += Idr[50];
+ RHS11 += Idr[51];
+ m_A40 += gt[52];
+ m_A40 += gt[53];
+ m_A40 += gt[54];
+ m_A42 += go[52];
+ m_A37 += go[53];
+ double RHS12 = Idr[52];
+ RHS12 += Idr[53];
+ RHS12 += Idr[54];
+ RHS12 -= go[54] * *cnV[54];
+ m_A44 += gt[55];
+ m_A44 += gt[56];
+ m_A45 += go[55];
+ m_A43 += go[56];
+ double RHS13 = Idr[55];
+ RHS13 += Idr[56];
+ m_A47 += gt[57];
+ m_A47 += gt[58];
+ m_A47 += gt[59];
+ m_A46 += go[57];
+ m_A48 += go[58];
+ double RHS14 = Idr[57];
+ RHS14 += Idr[58];
+ RHS14 += Idr[59];
+ RHS14 -= go[59] * *cnV[59];
+ m_A52 += gt[60];
+ m_A52 += gt[61];
+ m_A52 += gt[62];
+ m_A52 += gt[63];
+ m_A51 += go[60];
+ m_A50 += go[61];
+ m_A49 += go[62];
+ double RHS15 = Idr[60];
+ RHS15 += Idr[61];
+ RHS15 += Idr[62];
+ RHS15 += Idr[63];
+ RHS15 -= go[63] * *cnV[63];
+ m_A59 += gt[64];
+ m_A59 += gt[65];
+ m_A59 += gt[66];
+ m_A59 += gt[67];
+ m_A59 += gt[68];
+ m_A55 += go[64];
+ m_A56 += go[65];
+ m_A54 += go[66];
+ double RHS16 = Idr[64];
+ RHS16 += Idr[65];
+ RHS16 += Idr[66];
+ RHS16 += Idr[67];
+ RHS16 += Idr[68];
+ RHS16 -= go[67] * *cnV[67];
+ RHS16 -= go[68] * *cnV[68];
+ m_A65 += gt[69];
+ m_A65 += gt[70];
+ m_A65 += gt[71];
+ m_A65 += gt[72];
+ m_A65 += gt[73];
+ m_A61 += go[69];
+ m_A66 += go[70];
+ m_A63 += go[71];
+ double RHS17 = Idr[69];
+ RHS17 += Idr[70];
+ RHS17 += Idr[71];
+ RHS17 += Idr[72];
+ RHS17 += Idr[73];
+ RHS17 -= go[72] * *cnV[72];
+ RHS17 -= go[73] * *cnV[73];
+ m_A74 += gt[74];
+ m_A74 += gt[75];
+ m_A74 += gt[76];
+ m_A74 += gt[77];
+ m_A73 += go[74];
+ m_A71 += go[75];
+ m_A70 += go[76];
+ m_A67 += go[77];
+ double RHS18 = Idr[74];
+ RHS18 += Idr[75];
+ RHS18 += Idr[76];
+ RHS18 += Idr[77];
+ const double f0 = 1.0 / m_A0;
+ const double f0_13 = -f0 * m_A43;
+ m_A44 += m_A1 * f0_13;
+ RHS13 += f0_13 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_8 = -f1 * m_A21;
+ m_A23 += m_A3 * f1_8;
+ RHS8 += f1_8 * RHS1;
+ const double f1_15 = -f1 * m_A49;
+ m_A52 += m_A3 * f1_15;
+ RHS15 += f1_15 * RHS1;
+ const double f2 = 1.0 / m_A4;
+ const double f2_9 = -f2 * m_A24;
+ m_A25 += m_A5 * f2_9;
+ RHS9 += f2_9 * RHS2;
+ const double f3 = 1.0 / m_A6;
+ const double f3_15 = -f3 * m_A50;
+ m_A52 += m_A7 * f3_15;
+ m_A53 += m_A8 * f3_15;
+ RHS15 += f3_15 * RHS3;
+ const double f3_16 = -f3 * m_A54;
+ m_A58 += m_A7 * f3_16;
+ m_A59 += m_A8 * f3_16;
+ RHS16 += f3_16 * RHS3;
+ const double f4 = 1.0 / m_A9;
+ const double f4_10 = -f4 * m_A27;
+ m_A29 += m_A10 * f4_10;
+ RHS10 += f4_10 * RHS4;
+ const double f4_11 = -f4 * m_A30;
+ m_A33 += m_A10 * f4_11;
+ RHS11 += f4_11 * RHS4;
+ const double f5 = 1.0 / m_A11;
+ const double f5_11 = -f5 * m_A31;
+ m_A32 += m_A12 * f5_11;
+ m_A33 += m_A13 * f5_11;
+ m_A34 += m_A14 * f5_11;
+ m_A36 += m_A15 * f5_11;
+ RHS11 += f5_11 * RHS5;
+ const double f5_12 = -f5 * m_A37;
+ m_A38 += m_A12 * f5_12;
+ m_A39 += m_A13 * f5_12;
+ m_A40 += m_A14 * f5_12;
+ m_A42 += m_A15 * f5_12;
+ RHS12 += f5_12 * RHS5;
+ const double f5_18 = -f5 * m_A67;
+ m_A68 += m_A12 * f5_18;
+ m_A69 += m_A13 * f5_18;
+ m_A70 += m_A14 * f5_18;
+ m_A74 += m_A15 * f5_18;
+ RHS18 += f5_18 * RHS5;
+ const double f6 = 1.0 / m_A16;
+ const double f6_17 = -f6 * m_A61;
+ m_A62 += m_A17 * f6_17;
+ m_A66 += m_A18 * f6_17;
+ RHS17 += f6_17 * RHS6;
+ const double f7 = 1.0 / m_A19;
+ const double f7_14 = -f7 * m_A46;
+ m_A47 += m_A20 * f7_14;
+ RHS14 += f7_14 * RHS7;
+ const double f8 = 1.0 / m_A22;
+ const double f8_16 = -f8 * m_A55;
+ m_A58 += m_A23 * f8_16;
+ RHS16 += f8_16 * RHS8;
+ const double f9 = 1.0 / m_A25;
+ const double f9_15 = -f9 * m_A51;
+ m_A52 += m_A26 * f9_15;
+ RHS15 += f9_15 * RHS9;
+ const double f10 = 1.0 / m_A28;
+ const double f10_11 = -f10 * m_A32;
+ m_A33 += m_A29 * f10_11;
+ RHS11 += f10_11 * RHS10;
+ const double f10_12 = -f10 * m_A38;
+ m_A39 += m_A29 * f10_12;
+ RHS12 += f10_12 * RHS10;
+ const double f10_18 = -f10 * m_A68;
+ m_A69 += m_A29 * f10_18;
+ RHS18 += f10_18 * RHS10;
+ const double f11 = 1.0 / m_A33;
+ const double f11_12 = -f11 * m_A39;
+ m_A40 += m_A34 * f11_12;
+ m_A41 += m_A35 * f11_12;
+ m_A42 += m_A36 * f11_12;
+ RHS12 += f11_12 * RHS11;
+ const double f11_16 = -f11 * m_A56;
+ m_A57 += m_A34 * f11_16;
+ m_A59 += m_A35 * f11_16;
+ m_A60 += m_A36 * f11_16;
+ RHS16 += f11_16 * RHS11;
+ const double f11_18 = -f11 * m_A69;
+ m_A70 += m_A34 * f11_18;
+ m_A72 += m_A35 * f11_18;
+ m_A74 += m_A36 * f11_18;
+ RHS18 += f11_18 * RHS11;
+ const double f12 = 1.0 / m_A40;
+ const double f12_16 = -f12 * m_A57;
+ m_A59 += m_A41 * f12_16;
+ m_A60 += m_A42 * f12_16;
+ RHS16 += f12_16 * RHS12;
+ const double f12_17 = -f12 * m_A62;
+ m_A64 += m_A41 * f12_17;
+ m_A66 += m_A42 * f12_17;
+ RHS17 += f12_17 * RHS12;
+ const double f12_18 = -f12 * m_A70;
+ m_A72 += m_A41 * f12_18;
+ m_A74 += m_A42 * f12_18;
+ RHS18 += f12_18 * RHS12;
+ const double f13 = 1.0 / m_A44;
+ const double f13_17 = -f13 * m_A63;
+ m_A65 += m_A45 * f13_17;
+ RHS17 += f13_17 * RHS13;
+ const double f14 = 1.0 / m_A47;
+ const double f14_18 = -f14 * m_A71;
+ m_A74 += m_A48 * f14_18;
+ RHS18 += f14_18 * RHS14;
+ const double f15 = 1.0 / m_A52;
+ const double f15_16 = -f15 * m_A58;
+ m_A59 += m_A53 * f15_16;
+ RHS16 += f15_16 * RHS15;
+ const double f16 = 1.0 / m_A59;
+ const double f16_17 = -f16 * m_A64;
+ m_A66 += m_A60 * f16_17;
+ RHS17 += f16_17 * RHS16;
+ const double f16_18 = -f16 * m_A72;
+ m_A74 += m_A60 * f16_18;
+ RHS18 += f16_18 * RHS16;
+ const double f17 = 1.0 / m_A65;
+ const double f17_18 = -f17 * m_A73;
+ m_A74 += m_A66 * f17_18;
+ RHS18 += f17_18 * RHS17;
+ V[18] = RHS18 / m_A74;
+ double tmp17 = 0.0;
+ tmp17 += m_A66 * V[18];
+ V[17] = (RHS17 - tmp17) / m_A65;
+ double tmp16 = 0.0;
+ tmp16 += m_A60 * V[18];
+ V[16] = (RHS16 - tmp16) / m_A59;
+ double tmp15 = 0.0;
+ tmp15 += m_A53 * V[16];
+ V[15] = (RHS15 - tmp15) / m_A52;
+ double tmp14 = 0.0;
+ tmp14 += m_A48 * V[18];
+ V[14] = (RHS14 - tmp14) / m_A47;
+ double tmp13 = 0.0;
+ tmp13 += m_A45 * V[17];
+ V[13] = (RHS13 - tmp13) / m_A44;
+ double tmp12 = 0.0;
+ tmp12 += m_A41 * V[16];
+ tmp12 += m_A42 * V[18];
+ V[12] = (RHS12 - tmp12) / m_A40;
+ double tmp11 = 0.0;
+ tmp11 += m_A34 * V[12];
+ tmp11 += m_A35 * V[16];
+ tmp11 += m_A36 * V[18];
+ V[11] = (RHS11 - tmp11) / m_A33;
+ double tmp10 = 0.0;
+ tmp10 += m_A29 * V[11];
+ V[10] = (RHS10 - tmp10) / m_A28;
+ double tmp9 = 0.0;
+ tmp9 += m_A26 * V[15];
+ V[9] = (RHS9 - tmp9) / m_A25;
+ double tmp8 = 0.0;
+ tmp8 += m_A23 * V[15];
+ V[8] = (RHS8 - tmp8) / m_A22;
+ double tmp7 = 0.0;
+ tmp7 += m_A20 * V[14];
+ V[7] = (RHS7 - tmp7) / m_A19;
+ double tmp6 = 0.0;
+ tmp6 += m_A17 * V[12];
+ tmp6 += m_A18 * V[18];
+ V[6] = (RHS6 - tmp6) / m_A16;
+ double tmp5 = 0.0;
+ tmp5 += m_A12 * V[10];
+ tmp5 += m_A13 * V[11];
+ tmp5 += m_A14 * V[12];
+ tmp5 += m_A15 * V[18];
+ V[5] = (RHS5 - tmp5) / m_A11;
+ double tmp4 = 0.0;
+ tmp4 += m_A10 * V[11];
+ V[4] = (RHS4 - tmp4) / m_A9;
+ double tmp3 = 0.0;
+ tmp3 += m_A7 * V[15];
+ tmp3 += m_A8 * V[16];
+ V[3] = (RHS3 - tmp3) / m_A6;
+ double tmp2 = 0.0;
+ tmp2 += m_A5 * V[9];
+ V[2] = (RHS2 - tmp2) / m_A4;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[15];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[13];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// ripoff
static void nl_gcr_76c9e236353caed1_35_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -41530,6 +43358,318 @@ static void nl_gcr_aa07266ef5d420d1_11_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_aa68b74ffdea9bd8_47_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 -= go[1] * *cnV[1];
+ m_A2 += gt[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A2 += gt[6];
+ m_A2 += gt[7];
+ m_A2 += gt[8];
+ m_A3 += go[2];
+ double RHS1 = Idr[2];
+ RHS1 += Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 += Idr[6];
+ RHS1 += Idr[7];
+ RHS1 += Idr[8];
+ RHS1 -= go[3] * *cnV[3];
+ RHS1 -= go[4] * *cnV[4];
+ RHS1 -= go[5] * *cnV[5];
+ RHS1 -= go[6] * *cnV[6];
+ RHS1 -= go[7] * *cnV[7];
+ RHS1 -= go[8] * *cnV[8];
+ m_A4 += gt[9];
+ m_A4 += gt[10];
+ m_A4 += gt[11];
+ m_A6 += go[9];
+ m_A5 += go[10];
+ double RHS2 = Idr[9];
+ RHS2 += Idr[10];
+ RHS2 += Idr[11];
+ RHS2 -= go[11] * *cnV[11];
+ m_A7 += gt[12];
+ m_A7 += gt[13];
+ m_A7 += gt[14];
+ m_A8 += go[12];
+ m_A9 += go[13];
+ double RHS3 = Idr[12];
+ RHS3 += Idr[13];
+ RHS3 += Idr[14];
+ RHS3 -= go[14] * *cnV[14];
+ m_A10 += gt[15];
+ m_A10 += gt[16];
+ m_A10 += gt[17];
+ m_A10 += gt[18];
+ m_A10 += gt[19];
+ m_A10 += gt[20];
+ m_A13 += go[15];
+ m_A12 += go[16];
+ m_A11 += go[17];
+ m_A14 += go[18];
+ double RHS4 = Idr[15];
+ RHS4 += Idr[16];
+ RHS4 += Idr[17];
+ RHS4 += Idr[18];
+ RHS4 += Idr[19];
+ RHS4 += Idr[20];
+ RHS4 -= go[19] * *cnV[19];
+ RHS4 -= go[20] * *cnV[20];
+ m_A17 += gt[21];
+ m_A17 += gt[22];
+ m_A16 += go[21];
+ m_A15 += go[22];
+ double RHS5 = Idr[21];
+ RHS5 += Idr[22];
+ m_A21 += gt[23];
+ m_A21 += gt[24];
+ m_A21 += gt[25];
+ m_A20 += go[23];
+ m_A19 += go[24];
+ double RHS6 = Idr[23];
+ RHS6 += Idr[24];
+ RHS6 += Idr[25];
+ RHS6 -= go[25] * *cnV[25];
+ m_A28 += gt[26];
+ m_A28 += gt[27];
+ m_A28 += gt[28];
+ m_A28 += gt[29];
+ m_A28 += gt[30];
+ m_A25 += go[26];
+ m_A29 += go[27];
+ m_A26 += go[28];
+ double RHS7 = Idr[26];
+ RHS7 += Idr[27];
+ RHS7 += Idr[28];
+ RHS7 += Idr[29];
+ RHS7 += Idr[30];
+ RHS7 -= go[29] * *cnV[29];
+ RHS7 -= go[30] * *cnV[30];
+ m_A32 += gt[31];
+ m_A32 += gt[32];
+ m_A32 += gt[33];
+ m_A30 += go[31];
+ double RHS8 = Idr[31];
+ RHS8 += Idr[32];
+ RHS8 += Idr[33];
+ RHS8 -= go[32] * *cnV[32];
+ RHS8 -= go[33] * *cnV[33];
+ m_A38 += gt[34];
+ m_A38 += gt[35];
+ m_A38 += gt[36];
+ m_A38 += gt[37];
+ m_A38 += gt[38];
+ m_A38 += gt[39];
+ m_A38 += gt[40];
+ m_A36 += go[34];
+ m_A35 += go[35];
+ double RHS9 = Idr[34];
+ RHS9 += Idr[35];
+ RHS9 += Idr[36];
+ RHS9 += Idr[37];
+ RHS9 += Idr[38];
+ RHS9 += Idr[39];
+ RHS9 += Idr[40];
+ RHS9 -= go[36] * *cnV[36];
+ RHS9 -= go[37] * *cnV[37];
+ RHS9 -= go[38] * *cnV[38];
+ RHS9 -= go[39] * *cnV[39];
+ RHS9 -= go[40] * *cnV[40];
+ m_A46 += gt[41];
+ m_A46 += gt[42];
+ m_A46 += gt[43];
+ m_A41 += go[41];
+ m_A40 += go[42];
+ m_A43 += go[43];
+ double RHS10 = Idr[41];
+ RHS10 += Idr[42];
+ RHS10 += Idr[43];
+ const double f0 = 1.0 / m_A0;
+ const double f0_5 = -f0 * m_A15;
+ m_A17 += m_A1 * f0_5;
+ RHS5 += f0_5 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_7 = -f1 * m_A25;
+ m_A26 += m_A3 * f1_7;
+ RHS7 += f1_7 * RHS1;
+ const double f2 = 1.0 / m_A4;
+ const double f2_5 = -f2 * m_A16;
+ m_A17 += m_A5 * f2_5;
+ m_A18 += m_A6 * f2_5;
+ RHS5 += f2_5 * RHS2;
+ const double f2_7 = -f2 * m_A26;
+ m_A27 += m_A5 * f2_7;
+ m_A28 += m_A6 * f2_7;
+ RHS7 += f2_7 * RHS2;
+ const double f3 = 1.0 / m_A7;
+ const double f3_6 = -f3 * m_A19;
+ m_A21 += m_A8 * f3_6;
+ m_A24 += m_A9 * f3_6;
+ RHS6 += f3_6 * RHS3;
+ const double f3_9 = -f3 * m_A35;
+ m_A36 += m_A8 * f3_9;
+ m_A39 += m_A9 * f3_9;
+ RHS9 += f3_9 * RHS3;
+ const double f3_10 = -f3 * m_A40;
+ m_A42 += m_A8 * f3_10;
+ m_A46 += m_A9 * f3_10;
+ RHS10 += f3_10 * RHS3;
+ const double f4 = 1.0 / m_A10;
+ const double f4_6 = -f4 * m_A20;
+ m_A21 += m_A11 * f4_6;
+ m_A22 += m_A12 * f4_6;
+ m_A23 += m_A13 * f4_6;
+ m_A24 += m_A14 * f4_6;
+ RHS6 += f4_6 * RHS4;
+ const double f4_8 = -f4 * m_A30;
+ m_A31 += m_A11 * f4_8;
+ m_A32 += m_A12 * f4_8;
+ m_A33 += m_A13 * f4_8;
+ m_A34 += m_A14 * f4_8;
+ RHS8 += f4_8 * RHS4;
+ const double f4_10 = -f4 * m_A41;
+ m_A42 += m_A11 * f4_10;
+ m_A44 += m_A12 * f4_10;
+ m_A45 += m_A13 * f4_10;
+ m_A46 += m_A14 * f4_10;
+ RHS10 += f4_10 * RHS4;
+ const double f5 = 1.0 / m_A17;
+ const double f5_7 = -f5 * m_A27;
+ m_A28 += m_A18 * f5_7;
+ RHS7 += f5_7 * RHS5;
+ const double f6 = 1.0 / m_A21;
+ const double f6_8 = -f6 * m_A31;
+ m_A32 += m_A22 * f6_8;
+ m_A33 += m_A23 * f6_8;
+ m_A34 += m_A24 * f6_8;
+ RHS8 += f6_8 * RHS6;
+ const double f6_9 = -f6 * m_A36;
+ m_A37 += m_A22 * f6_9;
+ m_A38 += m_A23 * f6_9;
+ m_A39 += m_A24 * f6_9;
+ RHS9 += f6_9 * RHS6;
+ const double f6_10 = -f6 * m_A42;
+ m_A44 += m_A22 * f6_10;
+ m_A45 += m_A23 * f6_10;
+ m_A46 += m_A24 * f6_10;
+ RHS10 += f6_10 * RHS6;
+ const double f7 = 1.0 / m_A28;
+ const double f7_10 = -f7 * m_A43;
+ m_A46 += m_A29 * f7_10;
+ RHS10 += f7_10 * RHS7;
+ const double f8 = 1.0 / m_A32;
+ const double f8_9 = -f8 * m_A37;
+ m_A38 += m_A33 * f8_9;
+ m_A39 += m_A34 * f8_9;
+ RHS9 += f8_9 * RHS8;
+ const double f8_10 = -f8 * m_A44;
+ m_A45 += m_A33 * f8_10;
+ m_A46 += m_A34 * f8_10;
+ RHS10 += f8_10 * RHS8;
+ const double f9 = 1.0 / m_A38;
+ const double f9_10 = -f9 * m_A45;
+ m_A46 += m_A39 * f9_10;
+ RHS10 += f9_10 * RHS9;
+ V[10] = RHS10 / m_A46;
+ double tmp9 = 0.0;
+ tmp9 += m_A39 * V[10];
+ V[9] = (RHS9 - tmp9) / m_A38;
+ double tmp8 = 0.0;
+ tmp8 += m_A33 * V[9];
+ tmp8 += m_A34 * V[10];
+ V[8] = (RHS8 - tmp8) / m_A32;
+ double tmp7 = 0.0;
+ tmp7 += m_A29 * V[10];
+ V[7] = (RHS7 - tmp7) / m_A28;
+ double tmp6 = 0.0;
+ tmp6 += m_A22 * V[8];
+ tmp6 += m_A23 * V[9];
+ tmp6 += m_A24 * V[10];
+ V[6] = (RHS6 - tmp6) / m_A21;
+ double tmp5 = 0.0;
+ tmp5 += m_A18 * V[7];
+ V[5] = (RHS5 - tmp5) / m_A17;
+ double tmp4 = 0.0;
+ tmp4 += m_A11 * V[6];
+ tmp4 += m_A12 * V[8];
+ tmp4 += m_A13 * V[9];
+ tmp4 += m_A14 * V[10];
+ V[4] = (RHS4 - tmp4) / m_A10;
+ double tmp3 = 0.0;
+ tmp3 += m_A8 * V[6];
+ tmp3 += m_A9 * V[10];
+ V[3] = (RHS3 - tmp3) / m_A7;
+ double tmp2 = 0.0;
+ tmp2 += m_A5 * V[5];
+ tmp2 += m_A6 * V[7];
+ V[2] = (RHS2 - tmp2) / m_A4;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[2];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[5];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// 280zzzap
static void nl_gcr_ab9144d965a37e4_113_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -44930,6 +47070,633 @@ static void nl_gcr_b22769fbf3159a8d_21_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_b66df357763b1dce_97_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ double m_A75(0.0);
+ double m_A76(0.0);
+ double m_A77(0.0);
+ double m_A78(0.0);
+ double m_A79(0.0);
+ double m_A80(0.0);
+ double m_A81(0.0);
+ double m_A82(0.0);
+ double m_A83(0.0);
+ double m_A84(0.0);
+ double m_A85(0.0);
+ double m_A86(0.0);
+ double m_A87(0.0);
+ double m_A88(0.0);
+ double m_A89(0.0);
+ double m_A90(0.0);
+ double m_A91(0.0);
+ double m_A92(0.0);
+ double m_A93(0.0);
+ double m_A94(0.0);
+ double m_A95(0.0);
+ double m_A96(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A2 += gt[6];
+ m_A2 += gt[7];
+ m_A5 += go[3];
+ m_A4 += go[4];
+ m_A3 += go[5];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 += Idr[6];
+ RHS1 += Idr[7];
+ RHS1 -= go[6] * *cnV[6];
+ RHS1 -= go[7] * *cnV[7];
+ m_A6 += gt[8];
+ m_A6 += gt[9];
+ m_A6 += gt[10];
+ m_A7 += go[8];
+ double RHS2 = Idr[8];
+ RHS2 += Idr[9];
+ RHS2 += Idr[10];
+ RHS2 -= go[9] * *cnV[9];
+ RHS2 -= go[10] * *cnV[10];
+ m_A8 += gt[11];
+ m_A8 += gt[12];
+ m_A8 += gt[13];
+ m_A8 += gt[14];
+ m_A8 += gt[15];
+ m_A8 += gt[16];
+ m_A9 += go[11];
+ m_A10 += go[12];
+ m_A12 += go[13];
+ m_A11 += go[14];
+ double RHS3 = Idr[11];
+ RHS3 += Idr[12];
+ RHS3 += Idr[13];
+ RHS3 += Idr[14];
+ RHS3 += Idr[15];
+ RHS3 += Idr[16];
+ RHS3 -= go[15] * *cnV[15];
+ RHS3 -= go[16] * *cnV[16];
+ m_A13 += gt[17];
+ m_A13 += gt[18];
+ m_A13 += gt[19];
+ m_A14 += go[17];
+ double RHS4 = Idr[17];
+ RHS4 += Idr[18];
+ RHS4 += Idr[19];
+ RHS4 -= go[18] * *cnV[18];
+ RHS4 -= go[19] * *cnV[19];
+ m_A15 += gt[20];
+ m_A15 += gt[21];
+ m_A15 += gt[22];
+ m_A15 += gt[23];
+ m_A16 += go[20];
+ double RHS5 = Idr[20];
+ RHS5 += Idr[21];
+ RHS5 += Idr[22];
+ RHS5 += Idr[23];
+ RHS5 -= go[21] * *cnV[21];
+ RHS5 -= go[22] * *cnV[22];
+ RHS5 -= go[23] * *cnV[23];
+ m_A17 += gt[24];
+ m_A17 += gt[25];
+ m_A17 += gt[26];
+ m_A17 += gt[27];
+ m_A17 += gt[28];
+ m_A17 += gt[29];
+ m_A18 += go[24];
+ m_A21 += go[25];
+ m_A20 += go[26];
+ m_A19 += go[27];
+ double RHS6 = Idr[24];
+ RHS6 += Idr[25];
+ RHS6 += Idr[26];
+ RHS6 += Idr[27];
+ RHS6 += Idr[28];
+ RHS6 += Idr[29];
+ RHS6 -= go[28] * *cnV[28];
+ RHS6 -= go[29] * *cnV[29];
+ m_A22 += gt[30];
+ m_A22 += gt[31];
+ m_A22 += gt[32];
+ m_A22 += gt[33];
+ m_A22 += gt[34];
+ m_A22 += gt[35];
+ m_A22 += gt[36];
+ m_A24 += go[30];
+ m_A23 += go[31];
+ double RHS7 = Idr[30];
+ RHS7 += Idr[31];
+ RHS7 += Idr[32];
+ RHS7 += Idr[33];
+ RHS7 += Idr[34];
+ RHS7 += Idr[35];
+ RHS7 += Idr[36];
+ RHS7 -= go[32] * *cnV[32];
+ RHS7 -= go[33] * *cnV[33];
+ RHS7 -= go[34] * *cnV[34];
+ RHS7 -= go[35] * *cnV[35];
+ RHS7 -= go[36] * *cnV[36];
+ m_A25 += gt[37];
+ m_A25 += gt[38];
+ m_A26 += go[37];
+ m_A27 += go[38];
+ double RHS8 = Idr[37];
+ RHS8 += Idr[38];
+ m_A30 += gt[39];
+ m_A30 += gt[40];
+ m_A30 += gt[41];
+ m_A29 += go[39];
+ m_A28 += go[40];
+ double RHS9 = Idr[39];
+ RHS9 += Idr[40];
+ RHS9 += Idr[41];
+ RHS9 -= go[41] * *cnV[41];
+ m_A34 += gt[42];
+ m_A34 += gt[43];
+ m_A34 += gt[44];
+ m_A34 += gt[45];
+ m_A34 += gt[46];
+ m_A34 += gt[47];
+ m_A34 += gt[48];
+ m_A35 += go[42];
+ m_A33 += go[43];
+ double RHS10 = Idr[42];
+ RHS10 += Idr[43];
+ RHS10 += Idr[44];
+ RHS10 += Idr[45];
+ RHS10 += Idr[46];
+ RHS10 += Idr[47];
+ RHS10 += Idr[48];
+ RHS10 -= go[44] * *cnV[44];
+ RHS10 -= go[45] * *cnV[45];
+ RHS10 -= go[46] * *cnV[46];
+ RHS10 -= go[47] * *cnV[47];
+ RHS10 -= go[48] * *cnV[48];
+ m_A37 += gt[49];
+ m_A37 += gt[50];
+ m_A37 += gt[51];
+ m_A37 += gt[52];
+ m_A37 += gt[53];
+ m_A37 += gt[54];
+ m_A37 += gt[55];
+ m_A38 += go[49];
+ m_A36 += go[50];
+ double RHS11 = Idr[49];
+ RHS11 += Idr[50];
+ RHS11 += Idr[51];
+ RHS11 += Idr[52];
+ RHS11 += Idr[53];
+ RHS11 += Idr[54];
+ RHS11 += Idr[55];
+ RHS11 -= go[51] * *cnV[51];
+ RHS11 -= go[52] * *cnV[52];
+ RHS11 -= go[53] * *cnV[53];
+ RHS11 -= go[54] * *cnV[54];
+ RHS11 -= go[55] * *cnV[55];
+ m_A44 += gt[56];
+ m_A44 += gt[57];
+ m_A44 += gt[58];
+ m_A41 += go[56];
+ m_A40 += go[57];
+ m_A39 += go[58];
+ double RHS12 = Idr[56];
+ RHS12 += Idr[57];
+ RHS12 += Idr[58];
+ m_A54 += gt[59];
+ m_A54 += gt[60];
+ m_A54 += gt[61];
+ m_A56 += go[59];
+ m_A50 += go[60];
+ m_A49 += go[61];
+ double RHS13 = Idr[59];
+ RHS13 += Idr[60];
+ RHS13 += Idr[61];
+ m_A59 += gt[62];
+ m_A59 += gt[63];
+ m_A59 += gt[64];
+ m_A58 += go[62];
+ m_A60 += go[63];
+ double RHS14 = Idr[62];
+ RHS14 += Idr[63];
+ RHS14 += Idr[64];
+ RHS14 -= go[64] * *cnV[64];
+ m_A65 += gt[65];
+ m_A65 += gt[66];
+ m_A65 += gt[67];
+ m_A67 += go[65];
+ m_A61 += go[66];
+ double RHS15 = Idr[65];
+ RHS15 += Idr[66];
+ RHS15 += Idr[67];
+ RHS15 -= go[67] * *cnV[67];
+ m_A69 += gt[68];
+ m_A69 += gt[69];
+ m_A69 += gt[70];
+ m_A68 += go[68];
+ double RHS16 = Idr[68];
+ RHS16 += Idr[69];
+ RHS16 += Idr[70];
+ RHS16 -= go[69] * *cnV[69];
+ RHS16 -= go[70] * *cnV[70];
+ m_A79 += gt[71];
+ m_A79 += gt[72];
+ m_A79 += gt[73];
+ m_A79 += gt[74];
+ m_A79 += gt[75];
+ m_A72 += go[71];
+ m_A73 += go[72];
+ m_A77 += go[73];
+ m_A71 += go[74];
+ double RHS17 = Idr[71];
+ RHS17 += Idr[72];
+ RHS17 += Idr[73];
+ RHS17 += Idr[74];
+ RHS17 += Idr[75];
+ RHS17 -= go[75] * *cnV[75];
+ m_A86 += gt[76];
+ m_A86 += gt[77];
+ m_A86 += gt[78];
+ m_A86 += gt[79];
+ m_A86 += gt[80];
+ m_A81 += go[76];
+ m_A82 += go[77];
+ m_A87 += go[78];
+ double RHS18 = Idr[76];
+ RHS18 += Idr[77];
+ RHS18 += Idr[78];
+ RHS18 += Idr[79];
+ RHS18 += Idr[80];
+ RHS18 -= go[79] * *cnV[79];
+ RHS18 -= go[80] * *cnV[80];
+ m_A96 += gt[81];
+ m_A96 += gt[82];
+ m_A96 += gt[83];
+ m_A96 += gt[84];
+ m_A95 += go[81];
+ m_A92 += go[82];
+ m_A93 += go[83];
+ m_A88 += go[84];
+ double RHS19 = Idr[81];
+ RHS19 += Idr[82];
+ RHS19 += Idr[83];
+ RHS19 += Idr[84];
+ const double f0 = 1.0 / m_A0;
+ const double f0_9 = -f0 * m_A28;
+ m_A30 += m_A1 * f0_9;
+ RHS9 += f0_9 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_9 = -f1 * m_A29;
+ m_A30 += m_A3 * f1_9;
+ m_A31 += m_A4 * f1_9;
+ m_A32 += m_A5 * f1_9;
+ RHS9 += f1_9 * RHS1;
+ const double f1_13 = -f1 * m_A49;
+ m_A51 += m_A3 * f1_13;
+ m_A54 += m_A4 * f1_13;
+ m_A56 += m_A5 * f1_13;
+ RHS13 += f1_13 * RHS1;
+ const double f1_17 = -f1 * m_A71;
+ m_A74 += m_A3 * f1_17;
+ m_A77 += m_A4 * f1_17;
+ m_A79 += m_A5 * f1_17;
+ RHS17 += f1_17 * RHS1;
+ const double f2 = 1.0 / m_A6;
+ const double f2_11 = -f2 * m_A36;
+ m_A38 += m_A7 * f2_11;
+ RHS11 += f2_11 * RHS2;
+ const double f2_17 = -f2 * m_A72;
+ m_A79 += m_A7 * f2_17;
+ RHS17 += f2_17 * RHS2;
+ const double f3 = 1.0 / m_A8;
+ const double f3_12 = -f3 * m_A39;
+ m_A43 += m_A9 * f3_12;
+ m_A44 += m_A10 * f3_12;
+ m_A45 += m_A11 * f3_12;
+ m_A47 += m_A12 * f3_12;
+ RHS12 += f3_12 * RHS3;
+ const double f3_13 = -f3 * m_A50;
+ m_A52 += m_A9 * f3_13;
+ m_A53 += m_A10 * f3_13;
+ m_A54 += m_A11 * f3_13;
+ m_A56 += m_A12 * f3_13;
+ RHS13 += f3_13 * RHS3;
+ const double f3_17 = -f3 * m_A73;
+ m_A75 += m_A9 * f3_17;
+ m_A76 += m_A10 * f3_17;
+ m_A77 += m_A11 * f3_17;
+ m_A79 += m_A12 * f3_17;
+ RHS17 += f3_17 * RHS3;
+ const double f4 = 1.0 / m_A13;
+ const double f4_10 = -f4 * m_A33;
+ m_A35 += m_A14 * f4_10;
+ RHS10 += f4_10 * RHS4;
+ const double f4_12 = -f4 * m_A40;
+ m_A44 += m_A14 * f4_12;
+ RHS12 += f4_12 * RHS4;
+ const double f5 = 1.0 / m_A15;
+ const double f5_14 = -f5 * m_A58;
+ m_A59 += m_A16 * f5_14;
+ RHS14 += f5_14 * RHS5;
+ const double f6 = 1.0 / m_A17;
+ const double f6_12 = -f6 * m_A41;
+ m_A42 += m_A18 * f6_12;
+ m_A44 += m_A19 * f6_12;
+ m_A46 += m_A20 * f6_12;
+ m_A48 += m_A21 * f6_12;
+ RHS12 += f6_12 * RHS6;
+ const double f6_15 = -f6 * m_A61;
+ m_A62 += m_A18 * f6_15;
+ m_A63 += m_A19 * f6_15;
+ m_A65 += m_A20 * f6_15;
+ m_A67 += m_A21 * f6_15;
+ RHS15 += f6_15 * RHS6;
+ const double f6_19 = -f6 * m_A88;
+ m_A89 += m_A18 * f6_19;
+ m_A90 += m_A19 * f6_19;
+ m_A93 += m_A20 * f6_19;
+ m_A96 += m_A21 * f6_19;
+ RHS19 += f6_19 * RHS6;
+ const double f7 = 1.0 / m_A22;
+ const double f7_18 = -f7 * m_A81;
+ m_A83 += m_A23 * f7_18;
+ m_A87 += m_A24 * f7_18;
+ RHS18 += f7_18 * RHS7;
+ const double f8 = 1.0 / m_A25;
+ const double f8_16 = -f8 * m_A68;
+ m_A69 += m_A26 * f8_16;
+ m_A70 += m_A27 * f8_16;
+ RHS16 += f8_16 * RHS8;
+ const double f8_18 = -f8 * m_A82;
+ m_A84 += m_A26 * f8_18;
+ m_A86 += m_A27 * f8_18;
+ RHS18 += f8_18 * RHS8;
+ const double f9 = 1.0 / m_A30;
+ const double f9_13 = -f9 * m_A51;
+ m_A54 += m_A31 * f9_13;
+ m_A56 += m_A32 * f9_13;
+ RHS13 += f9_13 * RHS9;
+ const double f9_17 = -f9 * m_A74;
+ m_A77 += m_A31 * f9_17;
+ m_A79 += m_A32 * f9_17;
+ RHS17 += f9_17 * RHS9;
+ const double f10 = 1.0 / m_A34;
+ const double f10_12 = -f10 * m_A42;
+ m_A44 += m_A35 * f10_12;
+ RHS12 += f10_12 * RHS10;
+ const double f10_15 = -f10 * m_A62;
+ m_A63 += m_A35 * f10_15;
+ RHS15 += f10_15 * RHS10;
+ const double f10_19 = -f10 * m_A89;
+ m_A90 += m_A35 * f10_19;
+ RHS19 += f10_19 * RHS10;
+ const double f11 = 1.0 / m_A37;
+ const double f11_12 = -f11 * m_A43;
+ m_A47 += m_A38 * f11_12;
+ RHS12 += f11_12 * RHS11;
+ const double f11_13 = -f11 * m_A52;
+ m_A56 += m_A38 * f11_13;
+ RHS13 += f11_13 * RHS11;
+ const double f11_17 = -f11 * m_A75;
+ m_A79 += m_A38 * f11_17;
+ RHS17 += f11_17 * RHS11;
+ const double f12 = 1.0 / m_A44;
+ const double f12_13 = -f12 * m_A53;
+ m_A54 += m_A45 * f12_13;
+ m_A55 += m_A46 * f12_13;
+ m_A56 += m_A47 * f12_13;
+ m_A57 += m_A48 * f12_13;
+ RHS13 += f12_13 * RHS12;
+ const double f12_15 = -f12 * m_A63;
+ m_A64 += m_A45 * f12_15;
+ m_A65 += m_A46 * f12_15;
+ m_A66 += m_A47 * f12_15;
+ m_A67 += m_A48 * f12_15;
+ RHS15 += f12_15 * RHS12;
+ const double f12_17 = -f12 * m_A76;
+ m_A77 += m_A45 * f12_17;
+ m_A78 += m_A46 * f12_17;
+ m_A79 += m_A47 * f12_17;
+ m_A80 += m_A48 * f12_17;
+ RHS17 += f12_17 * RHS12;
+ const double f12_19 = -f12 * m_A90;
+ m_A91 += m_A45 * f12_19;
+ m_A93 += m_A46 * f12_19;
+ m_A94 += m_A47 * f12_19;
+ m_A96 += m_A48 * f12_19;
+ RHS19 += f12_19 * RHS12;
+ const double f13 = 1.0 / m_A54;
+ const double f13_15 = -f13 * m_A64;
+ m_A65 += m_A55 * f13_15;
+ m_A66 += m_A56 * f13_15;
+ m_A67 += m_A57 * f13_15;
+ RHS15 += f13_15 * RHS13;
+ const double f13_17 = -f13 * m_A77;
+ m_A78 += m_A55 * f13_17;
+ m_A79 += m_A56 * f13_17;
+ m_A80 += m_A57 * f13_17;
+ RHS17 += f13_17 * RHS13;
+ const double f13_19 = -f13 * m_A91;
+ m_A93 += m_A55 * f13_19;
+ m_A94 += m_A56 * f13_19;
+ m_A96 += m_A57 * f13_19;
+ RHS19 += f13_19 * RHS13;
+ const double f14 = 1.0 / m_A59;
+ const double f14_19 = -f14 * m_A92;
+ m_A96 += m_A60 * f14_19;
+ RHS19 += f14_19 * RHS14;
+ const double f15 = 1.0 / m_A65;
+ const double f15_17 = -f15 * m_A78;
+ m_A79 += m_A66 * f15_17;
+ m_A80 += m_A67 * f15_17;
+ RHS17 += f15_17 * RHS15;
+ const double f15_18 = -f15 * m_A83;
+ m_A85 += m_A66 * f15_18;
+ m_A87 += m_A67 * f15_18;
+ RHS18 += f15_18 * RHS15;
+ const double f15_19 = -f15 * m_A93;
+ m_A94 += m_A66 * f15_19;
+ m_A96 += m_A67 * f15_19;
+ RHS19 += f15_19 * RHS15;
+ const double f16 = 1.0 / m_A69;
+ const double f16_18 = -f16 * m_A84;
+ m_A86 += m_A70 * f16_18;
+ RHS18 += f16_18 * RHS16;
+ const double f17 = 1.0 / m_A79;
+ const double f17_18 = -f17 * m_A85;
+ m_A87 += m_A80 * f17_18;
+ RHS18 += f17_18 * RHS17;
+ const double f17_19 = -f17 * m_A94;
+ m_A96 += m_A80 * f17_19;
+ RHS19 += f17_19 * RHS17;
+ const double f18 = 1.0 / m_A86;
+ const double f18_19 = -f18 * m_A95;
+ m_A96 += m_A87 * f18_19;
+ RHS19 += f18_19 * RHS18;
+ V[19] = RHS19 / m_A96;
+ double tmp18 = 0.0;
+ tmp18 += m_A87 * V[19];
+ V[18] = (RHS18 - tmp18) / m_A86;
+ double tmp17 = 0.0;
+ tmp17 += m_A80 * V[19];
+ V[17] = (RHS17 - tmp17) / m_A79;
+ double tmp16 = 0.0;
+ tmp16 += m_A70 * V[18];
+ V[16] = (RHS16 - tmp16) / m_A69;
+ double tmp15 = 0.0;
+ tmp15 += m_A66 * V[17];
+ tmp15 += m_A67 * V[19];
+ V[15] = (RHS15 - tmp15) / m_A65;
+ double tmp14 = 0.0;
+ tmp14 += m_A60 * V[19];
+ V[14] = (RHS14 - tmp14) / m_A59;
+ double tmp13 = 0.0;
+ tmp13 += m_A55 * V[15];
+ tmp13 += m_A56 * V[17];
+ tmp13 += m_A57 * V[19];
+ V[13] = (RHS13 - tmp13) / m_A54;
+ double tmp12 = 0.0;
+ tmp12 += m_A45 * V[13];
+ tmp12 += m_A46 * V[15];
+ tmp12 += m_A47 * V[17];
+ tmp12 += m_A48 * V[19];
+ V[12] = (RHS12 - tmp12) / m_A44;
+ double tmp11 = 0.0;
+ tmp11 += m_A38 * V[17];
+ V[11] = (RHS11 - tmp11) / m_A37;
+ double tmp10 = 0.0;
+ tmp10 += m_A35 * V[12];
+ V[10] = (RHS10 - tmp10) / m_A34;
+ double tmp9 = 0.0;
+ tmp9 += m_A31 * V[13];
+ tmp9 += m_A32 * V[17];
+ V[9] = (RHS9 - tmp9) / m_A30;
+ double tmp8 = 0.0;
+ tmp8 += m_A26 * V[16];
+ tmp8 += m_A27 * V[18];
+ V[8] = (RHS8 - tmp8) / m_A25;
+ double tmp7 = 0.0;
+ tmp7 += m_A23 * V[15];
+ tmp7 += m_A24 * V[19];
+ V[7] = (RHS7 - tmp7) / m_A22;
+ double tmp6 = 0.0;
+ tmp6 += m_A18 * V[10];
+ tmp6 += m_A19 * V[12];
+ tmp6 += m_A20 * V[15];
+ tmp6 += m_A21 * V[19];
+ V[6] = (RHS6 - tmp6) / m_A17;
+ double tmp5 = 0.0;
+ tmp5 += m_A16 * V[14];
+ V[5] = (RHS5 - tmp5) / m_A15;
+ double tmp4 = 0.0;
+ tmp4 += m_A14 * V[12];
+ V[4] = (RHS4 - tmp4) / m_A13;
+ double tmp3 = 0.0;
+ tmp3 += m_A9 * V[11];
+ tmp3 += m_A10 * V[12];
+ tmp3 += m_A11 * V[13];
+ tmp3 += m_A12 * V[17];
+ V[3] = (RHS3 - tmp3) / m_A8;
+ double tmp2 = 0.0;
+ tmp2 += m_A7 * V[17];
+ V[2] = (RHS2 - tmp2) / m_A6;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[9];
+ tmp1 += m_A4 * V[13];
+ tmp1 += m_A5 * V[17];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[9];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// breakout
static void nl_gcr_b66ff415b228d5f8_10_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -49086,6 +51853,907 @@ static void nl_gcr_bff07f8d339f7cc4_89_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_c05df522276e65fd_134_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ double m_A75(0.0);
+ double m_A76(0.0);
+ double m_A77(0.0);
+ double m_A78(0.0);
+ double m_A79(0.0);
+ double m_A80(0.0);
+ double m_A81(0.0);
+ double m_A82(0.0);
+ double m_A83(0.0);
+ double m_A84(0.0);
+ double m_A85(0.0);
+ double m_A86(0.0);
+ double m_A87(0.0);
+ double m_A88(0.0);
+ double m_A89(0.0);
+ double m_A90(0.0);
+ double m_A91(0.0);
+ double m_A92(0.0);
+ double m_A93(0.0);
+ double m_A94(0.0);
+ double m_A95(0.0);
+ double m_A96(0.0);
+ double m_A97(0.0);
+ double m_A98(0.0);
+ double m_A99(0.0);
+ double m_A100(0.0);
+ double m_A101(0.0);
+ double m_A102(0.0);
+ double m_A103(0.0);
+ double m_A104(0.0);
+ double m_A105(0.0);
+ double m_A106(0.0);
+ double m_A107(0.0);
+ double m_A108(0.0);
+ double m_A109(0.0);
+ double m_A110(0.0);
+ double m_A111(0.0);
+ double m_A112(0.0);
+ double m_A113(0.0);
+ double m_A114(0.0);
+ double m_A115(0.0);
+ double m_A116(0.0);
+ double m_A117(0.0);
+ double m_A118(0.0);
+ double m_A119(0.0);
+ double m_A120(0.0);
+ double m_A121(0.0);
+ double m_A122(0.0);
+ double m_A123(0.0);
+ double m_A124(0.0);
+ double m_A125(0.0);
+ double m_A126(0.0);
+ double m_A127(0.0);
+ double m_A128(0.0);
+ double m_A129(0.0);
+ double m_A130(0.0);
+ double m_A131(0.0);
+ double m_A132(0.0);
+ double m_A133(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A4 += go[3];
+ m_A3 += go[4];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 -= go[5] * *cnV[5];
+ m_A5 += gt[6];
+ m_A5 += gt[7];
+ m_A5 += gt[8];
+ m_A5 += gt[9];
+ m_A5 += gt[10];
+ m_A5 += gt[11];
+ m_A5 += gt[12];
+ m_A7 += go[6];
+ m_A6 += go[7];
+ double RHS2 = Idr[6];
+ RHS2 += Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 += Idr[10];
+ RHS2 += Idr[11];
+ RHS2 += Idr[12];
+ RHS2 -= go[8] * *cnV[8];
+ RHS2 -= go[9] * *cnV[9];
+ RHS2 -= go[10] * *cnV[10];
+ RHS2 -= go[11] * *cnV[11];
+ RHS2 -= go[12] * *cnV[12];
+ m_A8 += gt[13];
+ m_A8 += gt[14];
+ m_A8 += gt[15];
+ m_A9 += go[13];
+ double RHS3 = Idr[13];
+ RHS3 += Idr[14];
+ RHS3 += Idr[15];
+ RHS3 -= go[14] * *cnV[14];
+ RHS3 -= go[15] * *cnV[15];
+ m_A10 += gt[16];
+ m_A10 += gt[17];
+ m_A10 += gt[18];
+ m_A10 += gt[19];
+ m_A11 += go[16];
+ double RHS4 = Idr[16];
+ RHS4 += Idr[17];
+ RHS4 += Idr[18];
+ RHS4 += Idr[19];
+ RHS4 -= go[17] * *cnV[17];
+ RHS4 -= go[18] * *cnV[18];
+ RHS4 -= go[19] * *cnV[19];
+ m_A12 += gt[20];
+ m_A12 += gt[21];
+ m_A12 += gt[22];
+ m_A12 += gt[23];
+ m_A12 += gt[24];
+ m_A12 += gt[25];
+ m_A12 += gt[26];
+ m_A14 += go[20];
+ m_A13 += go[21];
+ double RHS5 = Idr[20];
+ RHS5 += Idr[21];
+ RHS5 += Idr[22];
+ RHS5 += Idr[23];
+ RHS5 += Idr[24];
+ RHS5 += Idr[25];
+ RHS5 += Idr[26];
+ RHS5 -= go[22] * *cnV[22];
+ RHS5 -= go[23] * *cnV[23];
+ RHS5 -= go[24] * *cnV[24];
+ RHS5 -= go[25] * *cnV[25];
+ RHS5 -= go[26] * *cnV[26];
+ m_A15 += gt[27];
+ m_A15 += gt[28];
+ m_A15 += gt[29];
+ m_A16 += go[27];
+ m_A17 += go[28];
+ double RHS6 = Idr[27];
+ RHS6 += Idr[28];
+ RHS6 += Idr[29];
+ RHS6 -= go[29] * *cnV[29];
+ m_A18 += gt[30];
+ m_A18 += gt[31];
+ m_A18 += gt[32];
+ m_A18 += gt[33];
+ m_A19 += go[30];
+ double RHS7 = Idr[30];
+ RHS7 += Idr[31];
+ RHS7 += Idr[32];
+ RHS7 += Idr[33];
+ RHS7 -= go[31] * *cnV[31];
+ RHS7 -= go[32] * *cnV[32];
+ RHS7 -= go[33] * *cnV[33];
+ m_A20 += gt[34];
+ m_A20 += gt[35];
+ m_A20 += gt[36];
+ m_A21 += go[34];
+ m_A22 += go[35];
+ double RHS8 = Idr[34];
+ RHS8 += Idr[35];
+ RHS8 += Idr[36];
+ RHS8 -= go[36] * *cnV[36];
+ m_A23 += gt[37];
+ m_A23 += gt[38];
+ m_A23 += gt[39];
+ m_A23 += gt[40];
+ m_A23 += gt[41];
+ m_A24 += go[37];
+ m_A25 += go[38];
+ m_A26 += go[39];
+ double RHS9 = Idr[37];
+ RHS9 += Idr[38];
+ RHS9 += Idr[39];
+ RHS9 += Idr[40];
+ RHS9 += Idr[41];
+ RHS9 -= go[40] * *cnV[40];
+ RHS9 -= go[41] * *cnV[41];
+ m_A27 += gt[42];
+ m_A27 += gt[43];
+ m_A27 += gt[44];
+ m_A28 += go[42];
+ double RHS10 = Idr[42];
+ RHS10 += Idr[43];
+ RHS10 += Idr[44];
+ RHS10 -= go[43] * *cnV[43];
+ RHS10 -= go[44] * *cnV[44];
+ m_A29 += gt[45];
+ m_A29 += gt[46];
+ m_A30 += go[45];
+ double RHS11 = Idr[45];
+ RHS11 += Idr[46];
+ RHS11 -= go[46] * *cnV[46];
+ m_A31 += gt[47];
+ m_A31 += gt[48];
+ m_A32 += go[47];
+ double RHS12 = Idr[47];
+ RHS12 += Idr[48];
+ RHS12 -= go[48] * *cnV[48];
+ m_A33 += gt[49];
+ m_A33 += gt[50];
+ m_A33 += gt[51];
+ m_A34 += go[49];
+ m_A35 += go[50];
+ double RHS13 = Idr[49];
+ RHS13 += Idr[50];
+ RHS13 += Idr[51];
+ RHS13 -= go[51] * *cnV[51];
+ m_A36 += gt[52];
+ m_A36 += gt[53];
+ m_A36 += gt[54];
+ m_A36 += gt[55];
+ m_A36 += gt[56];
+ m_A36 += gt[57];
+ m_A37 += go[52];
+ m_A39 += go[53];
+ m_A39 += go[54];
+ m_A38 += go[55];
+ double RHS14 = Idr[52];
+ RHS14 += Idr[53];
+ RHS14 += Idr[54];
+ RHS14 += Idr[55];
+ RHS14 += Idr[56];
+ RHS14 += Idr[57];
+ RHS14 -= go[56] * *cnV[56];
+ RHS14 -= go[57] * *cnV[57];
+ m_A40 += gt[58];
+ m_A40 += gt[59];
+ m_A40 += gt[60];
+ m_A40 += gt[61];
+ m_A41 += go[58];
+ double RHS15 = Idr[58];
+ RHS15 += Idr[59];
+ RHS15 += Idr[60];
+ RHS15 += Idr[61];
+ RHS15 -= go[59] * *cnV[59];
+ RHS15 -= go[60] * *cnV[60];
+ RHS15 -= go[61] * *cnV[61];
+ m_A44 += gt[62];
+ m_A44 += gt[63];
+ m_A43 += go[62];
+ m_A42 += go[63];
+ double RHS16 = Idr[62];
+ RHS16 += Idr[63];
+ m_A48 += gt[64];
+ m_A48 += gt[65];
+ m_A48 += gt[66];
+ m_A49 += go[64];
+ m_A46 += go[65];
+ double RHS17 = Idr[64];
+ RHS17 += Idr[65];
+ RHS17 += Idr[66];
+ RHS17 -= go[66] * *cnV[66];
+ m_A51 += gt[67];
+ m_A51 += gt[68];
+ m_A51 += gt[69];
+ m_A51 += gt[70];
+ m_A51 += gt[71];
+ m_A51 += gt[72];
+ m_A51 += gt[73];
+ m_A52 += go[67];
+ m_A50 += go[68];
+ double RHS18 = Idr[67];
+ RHS18 += Idr[68];
+ RHS18 += Idr[69];
+ RHS18 += Idr[70];
+ RHS18 += Idr[71];
+ RHS18 += Idr[72];
+ RHS18 += Idr[73];
+ RHS18 -= go[69] * *cnV[69];
+ RHS18 -= go[70] * *cnV[70];
+ RHS18 -= go[71] * *cnV[71];
+ RHS18 -= go[72] * *cnV[72];
+ RHS18 -= go[73] * *cnV[73];
+ m_A54 += gt[74];
+ m_A54 += gt[75];
+ m_A54 += gt[76];
+ m_A53 += go[74];
+ m_A55 += go[75];
+ double RHS19 = Idr[74];
+ RHS19 += Idr[75];
+ RHS19 += Idr[76];
+ RHS19 -= go[76] * *cnV[76];
+ m_A57 += gt[77];
+ m_A57 += gt[78];
+ m_A57 += gt[79];
+ m_A56 += go[77];
+ m_A58 += go[78];
+ double RHS20 = Idr[77];
+ RHS20 += Idr[78];
+ RHS20 += Idr[79];
+ RHS20 -= go[79] * *cnV[79];
+ m_A61 += gt[80];
+ m_A61 += gt[81];
+ m_A61 += gt[82];
+ m_A61 += gt[83];
+ m_A61 += gt[84];
+ m_A59 += go[80];
+ m_A62 += go[81];
+ m_A63 += go[82];
+ double RHS21 = Idr[80];
+ RHS21 += Idr[81];
+ RHS21 += Idr[82];
+ RHS21 += Idr[83];
+ RHS21 += Idr[84];
+ RHS21 -= go[83] * *cnV[83];
+ RHS21 -= go[84] * *cnV[84];
+ m_A66 += gt[85];
+ m_A66 += gt[86];
+ m_A66 += gt[87];
+ m_A66 += gt[88];
+ m_A66 += gt[89];
+ m_A66 += gt[90];
+ m_A66 += gt[91];
+ m_A67 += go[85];
+ m_A65 += go[86];
+ double RHS22 = Idr[85];
+ RHS22 += Idr[86];
+ RHS22 += Idr[87];
+ RHS22 += Idr[88];
+ RHS22 += Idr[89];
+ RHS22 += Idr[90];
+ RHS22 += Idr[91];
+ RHS22 -= go[87] * *cnV[87];
+ RHS22 -= go[88] * *cnV[88];
+ RHS22 -= go[89] * *cnV[89];
+ RHS22 -= go[90] * *cnV[90];
+ RHS22 -= go[91] * *cnV[91];
+ m_A70 += gt[92];
+ m_A70 += gt[93];
+ m_A70 += gt[94];
+ m_A70 += gt[95];
+ m_A70 += gt[96];
+ m_A70 += gt[97];
+ m_A70 += gt[98];
+ m_A71 += go[92];
+ m_A69 += go[93];
+ double RHS23 = Idr[92];
+ RHS23 += Idr[93];
+ RHS23 += Idr[94];
+ RHS23 += Idr[95];
+ RHS23 += Idr[96];
+ RHS23 += Idr[97];
+ RHS23 += Idr[98];
+ RHS23 -= go[94] * *cnV[94];
+ RHS23 -= go[95] * *cnV[95];
+ RHS23 -= go[96] * *cnV[96];
+ RHS23 -= go[97] * *cnV[97];
+ RHS23 -= go[98] * *cnV[98];
+ m_A77 += gt[99];
+ m_A77 += gt[100];
+ m_A77 += gt[101];
+ m_A77 += gt[102];
+ m_A77 += gt[103];
+ m_A74 += go[99];
+ m_A73 += go[100];
+ m_A75 += go[101];
+ double RHS24 = Idr[99];
+ RHS24 += Idr[100];
+ RHS24 += Idr[101];
+ RHS24 += Idr[102];
+ RHS24 += Idr[103];
+ RHS24 -= go[102] * *cnV[102];
+ RHS24 -= go[103] * *cnV[103];
+ m_A81 += gt[104];
+ m_A81 += gt[105];
+ m_A81 += gt[106];
+ m_A83 += go[104];
+ m_A80 += go[105];
+ m_A82 += go[106];
+ double RHS25 = Idr[104];
+ RHS25 += Idr[105];
+ RHS25 += Idr[106];
+ m_A88 += gt[107];
+ m_A88 += gt[108];
+ m_A88 += gt[109];
+ m_A88 += gt[110];
+ m_A88 += gt[111];
+ m_A85 += go[107];
+ m_A85 += go[108];
+ m_A89 += go[109];
+ m_A84 += go[110];
+ m_A90 += go[111];
+ double RHS26 = Idr[107];
+ RHS26 += Idr[108];
+ RHS26 += Idr[109];
+ RHS26 += Idr[110];
+ RHS26 += Idr[111];
+ m_A95 += gt[112];
+ m_A95 += gt[113];
+ m_A95 += gt[114];
+ m_A92 += go[112];
+ m_A91 += go[113];
+ m_A93 += go[114];
+ double RHS27 = Idr[112];
+ RHS27 += Idr[113];
+ RHS27 += Idr[114];
+ m_A104 += gt[115];
+ m_A104 += gt[116];
+ m_A104 += gt[117];
+ m_A104 += gt[118];
+ m_A100 += go[115];
+ m_A101 += go[116];
+ m_A99 += go[117];
+ m_A106 += go[118];
+ double RHS28 = Idr[115];
+ RHS28 += Idr[116];
+ RHS28 += Idr[117];
+ RHS28 += Idr[118];
+ m_A112 += gt[119];
+ m_A112 += gt[120];
+ m_A112 += gt[121];
+ m_A112 += gt[122];
+ m_A109 += go[119];
+ m_A108 += go[120];
+ m_A107 += go[121];
+ m_A113 += go[122];
+ double RHS29 = Idr[119];
+ RHS29 += Idr[120];
+ RHS29 += Idr[121];
+ RHS29 += Idr[122];
+ m_A117 += gt[123];
+ m_A117 += gt[124];
+ m_A117 += gt[125];
+ m_A117 += gt[126];
+ m_A117 += gt[127];
+ m_A114 += go[123];
+ m_A116 += go[124];
+ m_A115 += go[125];
+ double RHS30 = Idr[123];
+ RHS30 += Idr[124];
+ RHS30 += Idr[125];
+ RHS30 += Idr[126];
+ RHS30 += Idr[127];
+ RHS30 -= go[126] * *cnV[126];
+ RHS30 -= go[127] * *cnV[127];
+ m_A121 += gt[128];
+ m_A121 += gt[129];
+ m_A121 += gt[130];
+ m_A119 += go[128];
+ m_A120 += go[129];
+ double RHS31 = Idr[128];
+ RHS31 += Idr[129];
+ RHS31 += Idr[130];
+ RHS31 -= go[130] * *cnV[130];
+ m_A133 += gt[131];
+ m_A133 += gt[132];
+ m_A133 += gt[133];
+ m_A133 += gt[134];
+ m_A133 += gt[135];
+ m_A133 += gt[136];
+ m_A133 += gt[137];
+ m_A133 += gt[138];
+ m_A133 += gt[139];
+ m_A133 += gt[140];
+ m_A126 += go[131];
+ m_A128 += go[132];
+ m_A125 += go[133];
+ m_A129 += go[134];
+ m_A124 += go[135];
+ m_A130 += go[136];
+ m_A123 += go[137];
+ m_A127 += go[138];
+ double RHS32 = Idr[131];
+ RHS32 += Idr[132];
+ RHS32 += Idr[133];
+ RHS32 += Idr[134];
+ RHS32 += Idr[135];
+ RHS32 += Idr[136];
+ RHS32 += Idr[137];
+ RHS32 += Idr[138];
+ RHS32 += Idr[139];
+ RHS32 += Idr[140];
+ RHS32 -= go[139] * *cnV[139];
+ RHS32 -= go[140] * *cnV[140];
+ const double f0 = 1.0 / m_A0;
+ const double f0_16 = -f0 * m_A42;
+ m_A44 += m_A1 * f0_16;
+ RHS16 += f0_16 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_16 = -f1 * m_A43;
+ m_A44 += m_A3 * f1_16;
+ m_A45 += m_A4 * f1_16;
+ RHS16 += f1_16 * RHS1;
+ const double f1_17 = -f1 * m_A46;
+ m_A47 += m_A3 * f1_17;
+ m_A48 += m_A4 * f1_17;
+ RHS17 += f1_17 * RHS1;
+ const double f2 = 1.0 / m_A5;
+ const double f2_30 = -f2 * m_A114;
+ m_A115 += m_A6 * f2_30;
+ m_A117 += m_A7 * f2_30;
+ RHS30 += f2_30 * RHS2;
+ const double f3 = 1.0 / m_A8;
+ const double f3_18 = -f3 * m_A50;
+ m_A52 += m_A9 * f3_18;
+ RHS18 += f3_18 * RHS3;
+ const double f3_25 = -f3 * m_A80;
+ m_A81 += m_A9 * f3_25;
+ RHS25 += f3_25 * RHS3;
+ const double f4 = 1.0 / m_A10;
+ const double f4_19 = -f4 * m_A53;
+ m_A54 += m_A11 * f4_19;
+ RHS19 += f4_19 * RHS4;
+ const double f5 = 1.0 / m_A12;
+ const double f5_21 = -f5 * m_A59;
+ m_A60 += m_A13 * f5_21;
+ m_A63 += m_A14 * f5_21;
+ RHS21 += f5_21 * RHS5;
+ const double f6 = 1.0 / m_A15;
+ const double f6_21 = -f6 * m_A60;
+ m_A63 += m_A16 * f6_21;
+ m_A64 += m_A17 * f6_21;
+ RHS21 += f6_21 * RHS6;
+ const double f6_29 = -f6 * m_A107;
+ m_A112 += m_A16 * f6_29;
+ m_A113 += m_A17 * f6_29;
+ RHS29 += f6_29 * RHS6;
+ const double f6_32 = -f6 * m_A123;
+ m_A130 += m_A16 * f6_32;
+ m_A133 += m_A17 * f6_32;
+ RHS32 += f6_32 * RHS6;
+ const double f7 = 1.0 / m_A18;
+ const double f7_20 = -f7 * m_A56;
+ m_A57 += m_A19 * f7_20;
+ RHS20 += f7_20 * RHS7;
+ const double f8 = 1.0 / m_A20;
+ const double f8_22 = -f8 * m_A65;
+ m_A67 += m_A21 * f8_22;
+ m_A68 += m_A22 * f8_22;
+ RHS22 += f8_22 * RHS8;
+ const double f8_28 = -f8 * m_A99;
+ m_A104 += m_A21 * f8_28;
+ m_A106 += m_A22 * f8_28;
+ RHS28 += f8_28 * RHS8;
+ const double f8_32 = -f8 * m_A124;
+ m_A129 += m_A21 * f8_32;
+ m_A133 += m_A22 * f8_32;
+ RHS32 += f8_32 * RHS8;
+ const double f9 = 1.0 / m_A23;
+ const double f9_27 = -f9 * m_A91;
+ m_A94 += m_A24 * f9_27;
+ m_A95 += m_A25 * f9_27;
+ m_A96 += m_A26 * f9_27;
+ RHS27 += f9_27 * RHS9;
+ const double f9_28 = -f9 * m_A100;
+ m_A102 += m_A24 * f9_28;
+ m_A103 += m_A25 * f9_28;
+ m_A104 += m_A26 * f9_28;
+ RHS28 += f9_28 * RHS9;
+ const double f10 = 1.0 / m_A27;
+ const double f10_27 = -f10 * m_A92;
+ m_A95 += m_A28 * f10_27;
+ RHS27 += f10_27 * RHS10;
+ const double f11 = 1.0 / m_A29;
+ const double f11_24 = -f11 * m_A73;
+ m_A77 += m_A30 * f11_24;
+ RHS24 += f11_24 * RHS11;
+ const double f12 = 1.0 / m_A31;
+ const double f12_24 = -f12 * m_A74;
+ m_A77 += m_A32 * f12_24;
+ RHS24 += f12_24 * RHS12;
+ const double f13 = 1.0 / m_A33;
+ const double f13_23 = -f13 * m_A69;
+ m_A71 += m_A34 * f13_23;
+ m_A72 += m_A35 * f13_23;
+ RHS23 += f13_23 * RHS13;
+ const double f13_26 = -f13 * m_A84;
+ m_A88 += m_A34 * f13_26;
+ m_A90 += m_A35 * f13_26;
+ RHS26 += f13_26 * RHS13;
+ const double f13_32 = -f13 * m_A125;
+ m_A128 += m_A34 * f13_32;
+ m_A133 += m_A35 * f13_32;
+ RHS32 += f13_32 * RHS13;
+ const double f14 = 1.0 / m_A36;
+ const double f14_24 = -f14 * m_A75;
+ m_A76 += m_A37 * f14_24;
+ m_A77 += m_A38 * f14_24;
+ m_A78 += m_A39 * f14_24;
+ RHS24 += f14_24 * RHS14;
+ const double f14_26 = -f14 * m_A85;
+ m_A86 += m_A37 * f14_26;
+ m_A87 += m_A38 * f14_26;
+ m_A88 += m_A39 * f14_26;
+ RHS26 += f14_26 * RHS14;
+ const double f15 = 1.0 / m_A40;
+ const double f15_31 = -f15 * m_A119;
+ m_A121 += m_A41 * f15_31;
+ RHS31 += f15_31 * RHS15;
+ const double f16 = 1.0 / m_A44;
+ const double f16_17 = -f16 * m_A47;
+ m_A48 += m_A45 * f16_17;
+ RHS17 += f16_17 * RHS16;
+ const double f17 = 1.0 / m_A48;
+ const double f17_30 = -f17 * m_A115;
+ m_A117 += m_A49 * f17_30;
+ RHS30 += f17_30 * RHS17;
+ const double f18 = 1.0 / m_A51;
+ const double f18_32 = -f18 * m_A126;
+ m_A127 += m_A52 * f18_32;
+ RHS32 += f18_32 * RHS18;
+ const double f19 = 1.0 / m_A54;
+ const double f19_29 = -f19 * m_A108;
+ m_A112 += m_A55 * f19_29;
+ RHS29 += f19_29 * RHS19;
+ const double f20 = 1.0 / m_A57;
+ const double f20_28 = -f20 * m_A101;
+ m_A104 += m_A58 * f20_28;
+ RHS28 += f20_28 * RHS20;
+ const double f21 = 1.0 / m_A61;
+ const double f21_27 = -f21 * m_A93;
+ m_A95 += m_A62 * f21_27;
+ m_A97 += m_A63 * f21_27;
+ m_A98 += m_A64 * f21_27;
+ RHS27 += f21_27 * RHS21;
+ const double f21_29 = -f21 * m_A109;
+ m_A110 += m_A62 * f21_29;
+ m_A112 += m_A63 * f21_29;
+ m_A113 += m_A64 * f21_29;
+ RHS29 += f21_29 * RHS21;
+ const double f22 = 1.0 / m_A66;
+ const double f22_27 = -f22 * m_A94;
+ m_A96 += m_A67 * f22_27;
+ m_A98 += m_A68 * f22_27;
+ RHS27 += f22_27 * RHS22;
+ const double f22_28 = -f22 * m_A102;
+ m_A104 += m_A67 * f22_28;
+ m_A106 += m_A68 * f22_28;
+ RHS28 += f22_28 * RHS22;
+ const double f23 = 1.0 / m_A70;
+ const double f23_24 = -f23 * m_A76;
+ m_A78 += m_A71 * f23_24;
+ m_A79 += m_A72 * f23_24;
+ RHS24 += f23_24 * RHS23;
+ const double f23_26 = -f23 * m_A86;
+ m_A88 += m_A71 * f23_26;
+ m_A90 += m_A72 * f23_26;
+ RHS26 += f23_26 * RHS23;
+ const double f24 = 1.0 / m_A77;
+ const double f24_26 = -f24 * m_A87;
+ m_A88 += m_A78 * f24_26;
+ m_A90 += m_A79 * f24_26;
+ RHS26 += f24_26 * RHS24;
+ const double f25 = 1.0 / m_A81;
+ const double f25_30 = -f25 * m_A116;
+ m_A117 += m_A82 * f25_30;
+ m_A118 += m_A83 * f25_30;
+ RHS30 += f25_30 * RHS25;
+ const double f25_32 = -f25 * m_A127;
+ m_A131 += m_A82 * f25_32;
+ m_A133 += m_A83 * f25_32;
+ RHS32 += f25_32 * RHS25;
+ const double f26 = 1.0 / m_A88;
+ const double f26_31 = -f26 * m_A120;
+ m_A121 += m_A89 * f26_31;
+ m_A122 += m_A90 * f26_31;
+ RHS31 += f26_31 * RHS26;
+ const double f26_32 = -f26 * m_A128;
+ m_A132 += m_A89 * f26_32;
+ m_A133 += m_A90 * f26_32;
+ RHS32 += f26_32 * RHS26;
+ const double f27 = 1.0 / m_A95;
+ const double f27_28 = -f27 * m_A103;
+ m_A104 += m_A96 * f27_28;
+ m_A105 += m_A97 * f27_28;
+ m_A106 += m_A98 * f27_28;
+ RHS28 += f27_28 * RHS27;
+ const double f27_29 = -f27 * m_A110;
+ m_A111 += m_A96 * f27_29;
+ m_A112 += m_A97 * f27_29;
+ m_A113 += m_A98 * f27_29;
+ RHS29 += f27_29 * RHS27;
+ const double f28 = 1.0 / m_A104;
+ const double f28_29 = -f28 * m_A111;
+ m_A112 += m_A105 * f28_29;
+ m_A113 += m_A106 * f28_29;
+ RHS29 += f28_29 * RHS28;
+ const double f28_32 = -f28 * m_A129;
+ m_A130 += m_A105 * f28_32;
+ m_A133 += m_A106 * f28_32;
+ RHS32 += f28_32 * RHS28;
+ const double f29 = 1.0 / m_A112;
+ const double f29_32 = -f29 * m_A130;
+ m_A133 += m_A113 * f29_32;
+ RHS32 += f29_32 * RHS29;
+ const double f30 = 1.0 / m_A117;
+ const double f30_32 = -f30 * m_A131;
+ m_A133 += m_A118 * f30_32;
+ RHS32 += f30_32 * RHS30;
+ const double f31 = 1.0 / m_A121;
+ const double f31_32 = -f31 * m_A132;
+ m_A133 += m_A122 * f31_32;
+ RHS32 += f31_32 * RHS31;
+ V[32] = RHS32 / m_A133;
+ double tmp31 = 0.0;
+ tmp31 += m_A122 * V[32];
+ V[31] = (RHS31 - tmp31) / m_A121;
+ double tmp30 = 0.0;
+ tmp30 += m_A118 * V[32];
+ V[30] = (RHS30 - tmp30) / m_A117;
+ double tmp29 = 0.0;
+ tmp29 += m_A113 * V[32];
+ V[29] = (RHS29 - tmp29) / m_A112;
+ double tmp28 = 0.0;
+ tmp28 += m_A105 * V[29];
+ tmp28 += m_A106 * V[32];
+ V[28] = (RHS28 - tmp28) / m_A104;
+ double tmp27 = 0.0;
+ tmp27 += m_A96 * V[28];
+ tmp27 += m_A97 * V[29];
+ tmp27 += m_A98 * V[32];
+ V[27] = (RHS27 - tmp27) / m_A95;
+ double tmp26 = 0.0;
+ tmp26 += m_A89 * V[31];
+ tmp26 += m_A90 * V[32];
+ V[26] = (RHS26 - tmp26) / m_A88;
+ double tmp25 = 0.0;
+ tmp25 += m_A82 * V[30];
+ tmp25 += m_A83 * V[32];
+ V[25] = (RHS25 - tmp25) / m_A81;
+ double tmp24 = 0.0;
+ tmp24 += m_A78 * V[26];
+ tmp24 += m_A79 * V[32];
+ V[24] = (RHS24 - tmp24) / m_A77;
+ double tmp23 = 0.0;
+ tmp23 += m_A71 * V[26];
+ tmp23 += m_A72 * V[32];
+ V[23] = (RHS23 - tmp23) / m_A70;
+ double tmp22 = 0.0;
+ tmp22 += m_A67 * V[28];
+ tmp22 += m_A68 * V[32];
+ V[22] = (RHS22 - tmp22) / m_A66;
+ double tmp21 = 0.0;
+ tmp21 += m_A62 * V[27];
+ tmp21 += m_A63 * V[29];
+ tmp21 += m_A64 * V[32];
+ V[21] = (RHS21 - tmp21) / m_A61;
+ double tmp20 = 0.0;
+ tmp20 += m_A58 * V[28];
+ V[20] = (RHS20 - tmp20) / m_A57;
+ double tmp19 = 0.0;
+ tmp19 += m_A55 * V[29];
+ V[19] = (RHS19 - tmp19) / m_A54;
+ double tmp18 = 0.0;
+ tmp18 += m_A52 * V[25];
+ V[18] = (RHS18 - tmp18) / m_A51;
+ double tmp17 = 0.0;
+ tmp17 += m_A49 * V[30];
+ V[17] = (RHS17 - tmp17) / m_A48;
+ double tmp16 = 0.0;
+ tmp16 += m_A45 * V[17];
+ V[16] = (RHS16 - tmp16) / m_A44;
+ double tmp15 = 0.0;
+ tmp15 += m_A41 * V[31];
+ V[15] = (RHS15 - tmp15) / m_A40;
+ double tmp14 = 0.0;
+ tmp14 += m_A37 * V[23];
+ tmp14 += m_A38 * V[24];
+ tmp14 += m_A39 * V[26];
+ V[14] = (RHS14 - tmp14) / m_A36;
+ double tmp13 = 0.0;
+ tmp13 += m_A34 * V[26];
+ tmp13 += m_A35 * V[32];
+ V[13] = (RHS13 - tmp13) / m_A33;
+ double tmp12 = 0.0;
+ tmp12 += m_A32 * V[24];
+ V[12] = (RHS12 - tmp12) / m_A31;
+ double tmp11 = 0.0;
+ tmp11 += m_A30 * V[24];
+ V[11] = (RHS11 - tmp11) / m_A29;
+ double tmp10 = 0.0;
+ tmp10 += m_A28 * V[27];
+ V[10] = (RHS10 - tmp10) / m_A27;
+ double tmp9 = 0.0;
+ tmp9 += m_A24 * V[22];
+ tmp9 += m_A25 * V[27];
+ tmp9 += m_A26 * V[28];
+ V[9] = (RHS9 - tmp9) / m_A23;
+ double tmp8 = 0.0;
+ tmp8 += m_A21 * V[28];
+ tmp8 += m_A22 * V[32];
+ V[8] = (RHS8 - tmp8) / m_A20;
+ double tmp7 = 0.0;
+ tmp7 += m_A19 * V[20];
+ V[7] = (RHS7 - tmp7) / m_A18;
+ double tmp6 = 0.0;
+ tmp6 += m_A16 * V[29];
+ tmp6 += m_A17 * V[32];
+ V[6] = (RHS6 - tmp6) / m_A15;
+ double tmp5 = 0.0;
+ tmp5 += m_A13 * V[6];
+ tmp5 += m_A14 * V[29];
+ V[5] = (RHS5 - tmp5) / m_A12;
+ double tmp4 = 0.0;
+ tmp4 += m_A11 * V[19];
+ V[4] = (RHS4 - tmp4) / m_A10;
+ double tmp3 = 0.0;
+ tmp3 += m_A9 * V[25];
+ V[3] = (RHS3 - tmp3) / m_A8;
+ double tmp2 = 0.0;
+ tmp2 += m_A6 * V[17];
+ tmp2 += m_A7 * V[30];
+ V[2] = (RHS2 - tmp2) / m_A5;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[16];
+ tmp1 += m_A4 * V[17];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[16];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// fireone
static void nl_gcr_c1d22fe6e895255d_79_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -57936,6 +61604,1015 @@ static void nl_gcr_d4c34516ff6aa139_46_double_double(double * __restrict V, cons
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_d5e1c37cfa2d2853_30_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A0 += gt[3];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 += Idr[3];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ RHS0 -= go[3] * *cnV[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A3 += go[4];
+ m_A4 += go[5];
+ double RHS1 = Idr[4];
+ RHS1 += Idr[5];
+ m_A5 += gt[6];
+ m_A5 += gt[7];
+ m_A5 += gt[8];
+ m_A6 += go[6];
+ double RHS2 = Idr[6];
+ RHS2 += Idr[7];
+ RHS2 += Idr[8];
+ RHS2 -= go[7] * *cnV[7];
+ RHS2 -= go[8] * *cnV[8];
+ m_A7 += gt[9];
+ m_A7 += gt[10];
+ m_A7 += gt[11];
+ m_A8 += go[9];
+ m_A9 += go[10];
+ double RHS3 = Idr[9];
+ RHS3 += Idr[10];
+ RHS3 += Idr[11];
+ RHS3 -= go[11] * *cnV[11];
+ m_A11 += gt[12];
+ m_A11 += gt[13];
+ m_A10 += go[12];
+ double RHS4 = Idr[12];
+ RHS4 += Idr[13];
+ RHS4 -= go[13] * *cnV[13];
+ m_A14 += gt[14];
+ m_A14 += gt[15];
+ m_A14 += gt[16];
+ m_A15 += go[14];
+ m_A13 += go[15];
+ double RHS5 = Idr[14];
+ RHS5 += Idr[15];
+ RHS5 += Idr[16];
+ RHS5 -= go[16] * *cnV[16];
+ m_A17 += gt[17];
+ m_A17 += gt[18];
+ m_A17 += gt[19];
+ m_A17 += gt[20];
+ m_A17 += gt[21];
+ m_A17 += gt[22];
+ m_A17 += gt[23];
+ m_A16 += go[17];
+ m_A18 += go[18];
+ double RHS6 = Idr[17];
+ RHS6 += Idr[18];
+ RHS6 += Idr[19];
+ RHS6 += Idr[20];
+ RHS6 += Idr[21];
+ RHS6 += Idr[22];
+ RHS6 += Idr[23];
+ RHS6 -= go[19] * *cnV[19];
+ RHS6 -= go[20] * *cnV[20];
+ RHS6 -= go[21] * *cnV[21];
+ RHS6 -= go[22] * *cnV[22];
+ RHS6 -= go[23] * *cnV[23];
+ m_A22 += gt[24];
+ m_A22 += gt[25];
+ m_A22 += gt[26];
+ m_A22 += gt[27];
+ m_A20 += go[24];
+ m_A19 += go[25];
+ m_A21 += go[26];
+ double RHS7 = Idr[24];
+ RHS7 += Idr[25];
+ RHS7 += Idr[26];
+ RHS7 += Idr[27];
+ RHS7 -= go[27] * *cnV[27];
+ m_A29 += gt[28];
+ m_A29 += gt[29];
+ m_A29 += gt[30];
+ m_A29 += gt[31];
+ m_A29 += gt[32];
+ m_A27 += go[28];
+ m_A25 += go[29];
+ m_A24 += go[30];
+ double RHS8 = Idr[28];
+ RHS8 += Idr[29];
+ RHS8 += Idr[30];
+ RHS8 += Idr[31];
+ RHS8 += Idr[32];
+ RHS8 -= go[31] * *cnV[31];
+ RHS8 -= go[32] * *cnV[32];
+ const double f0 = 1.0 / m_A0;
+ const double f0_5 = -f0 * m_A13;
+ m_A14 += m_A1 * f0_5;
+ RHS5 += f0_5 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_7 = -f1 * m_A19;
+ m_A22 += m_A3 * f1_7;
+ m_A23 += m_A4 * f1_7;
+ RHS7 += f1_7 * RHS1;
+ const double f1_8 = -f1 * m_A24;
+ m_A28 += m_A3 * f1_8;
+ m_A29 += m_A4 * f1_8;
+ RHS8 += f1_8 * RHS1;
+ const double f2 = 1.0 / m_A5;
+ const double f2_6 = -f2 * m_A16;
+ m_A18 += m_A6 * f2_6;
+ RHS6 += f2_6 * RHS2;
+ const double f2_7 = -f2 * m_A20;
+ m_A22 += m_A6 * f2_7;
+ RHS7 += f2_7 * RHS2;
+ const double f3 = 1.0 / m_A7;
+ const double f3_4 = -f3 * m_A10;
+ m_A11 += m_A8 * f3_4;
+ m_A12 += m_A9 * f3_4;
+ RHS4 += f3_4 * RHS3;
+ const double f3_8 = -f3 * m_A25;
+ m_A26 += m_A8 * f3_8;
+ m_A29 += m_A9 * f3_8;
+ RHS8 += f3_8 * RHS3;
+ const double f4 = 1.0 / m_A11;
+ const double f4_8 = -f4 * m_A26;
+ m_A29 += m_A12 * f4_8;
+ RHS8 += f4_8 * RHS4;
+ const double f5 = 1.0 / m_A14;
+ const double f5_7 = -f5 * m_A21;
+ m_A22 += m_A15 * f5_7;
+ RHS7 += f5_7 * RHS5;
+ const double f6 = 1.0 / m_A17;
+ const double f6_8 = -f6 * m_A27;
+ m_A28 += m_A18 * f6_8;
+ RHS8 += f6_8 * RHS6;
+ const double f7 = 1.0 / m_A22;
+ const double f7_8 = -f7 * m_A28;
+ m_A29 += m_A23 * f7_8;
+ RHS8 += f7_8 * RHS7;
+ V[8] = RHS8 / m_A29;
+ double tmp7 = 0.0;
+ tmp7 += m_A23 * V[8];
+ V[7] = (RHS7 - tmp7) / m_A22;
+ double tmp6 = 0.0;
+ tmp6 += m_A18 * V[7];
+ V[6] = (RHS6 - tmp6) / m_A17;
+ double tmp5 = 0.0;
+ tmp5 += m_A15 * V[7];
+ V[5] = (RHS5 - tmp5) / m_A14;
+ double tmp4 = 0.0;
+ tmp4 += m_A12 * V[8];
+ V[4] = (RHS4 - tmp4) / m_A11;
+ double tmp3 = 0.0;
+ tmp3 += m_A8 * V[4];
+ tmp3 += m_A9 * V[8];
+ V[3] = (RHS3 - tmp3) / m_A7;
+ double tmp2 = 0.0;
+ tmp2 += m_A6 * V[7];
+ V[2] = (RHS2 - tmp2) / m_A5;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[7];
+ tmp1 += m_A4 * V[8];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[5];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
+// brdrline
+static void nl_gcr_d74d89ba31f2cb81_126_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ double m_A75(0.0);
+ double m_A76(0.0);
+ double m_A77(0.0);
+ double m_A78(0.0);
+ double m_A79(0.0);
+ double m_A80(0.0);
+ double m_A81(0.0);
+ double m_A82(0.0);
+ double m_A83(0.0);
+ double m_A84(0.0);
+ double m_A85(0.0);
+ double m_A86(0.0);
+ double m_A87(0.0);
+ double m_A88(0.0);
+ double m_A89(0.0);
+ double m_A90(0.0);
+ double m_A91(0.0);
+ double m_A92(0.0);
+ double m_A93(0.0);
+ double m_A94(0.0);
+ double m_A95(0.0);
+ double m_A96(0.0);
+ double m_A97(0.0);
+ double m_A98(0.0);
+ double m_A99(0.0);
+ double m_A100(0.0);
+ double m_A101(0.0);
+ double m_A102(0.0);
+ double m_A103(0.0);
+ double m_A104(0.0);
+ double m_A105(0.0);
+ double m_A106(0.0);
+ double m_A107(0.0);
+ double m_A108(0.0);
+ double m_A109(0.0);
+ double m_A110(0.0);
+ double m_A111(0.0);
+ double m_A112(0.0);
+ double m_A113(0.0);
+ double m_A114(0.0);
+ double m_A115(0.0);
+ double m_A116(0.0);
+ double m_A117(0.0);
+ double m_A118(0.0);
+ double m_A119(0.0);
+ double m_A120(0.0);
+ double m_A121(0.0);
+ double m_A122(0.0);
+ double m_A123(0.0);
+ double m_A124(0.0);
+ double m_A125(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 -= go[1] * *cnV[1];
+ m_A2 += gt[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A3 += go[2];
+ double RHS1 = Idr[2];
+ RHS1 += Idr[3];
+ RHS1 += Idr[4];
+ RHS1 -= go[3] * *cnV[3];
+ RHS1 -= go[4] * *cnV[4];
+ m_A4 += gt[5];
+ m_A4 += gt[6];
+ m_A4 += gt[7];
+ m_A5 += go[5];
+ double RHS2 = Idr[5];
+ RHS2 += Idr[6];
+ RHS2 += Idr[7];
+ RHS2 -= go[6] * *cnV[6];
+ RHS2 -= go[7] * *cnV[7];
+ m_A6 += gt[8];
+ m_A6 += gt[9];
+ m_A6 += gt[10];
+ m_A7 += go[8];
+ double RHS3 = Idr[8];
+ RHS3 += Idr[9];
+ RHS3 += Idr[10];
+ RHS3 -= go[9] * *cnV[9];
+ RHS3 -= go[10] * *cnV[10];
+ m_A8 += gt[11];
+ m_A8 += gt[12];
+ m_A9 += go[11];
+ double RHS4 = Idr[11];
+ RHS4 += Idr[12];
+ RHS4 -= go[12] * *cnV[12];
+ m_A10 += gt[13];
+ m_A10 += gt[14];
+ m_A11 += go[13];
+ double RHS5 = Idr[13];
+ RHS5 += Idr[14];
+ RHS5 -= go[14] * *cnV[14];
+ m_A12 += gt[15];
+ m_A12 += gt[16];
+ m_A14 += go[15];
+ m_A13 += go[16];
+ double RHS6 = Idr[15];
+ RHS6 += Idr[16];
+ m_A15 += gt[17];
+ m_A15 += gt[18];
+ m_A15 += gt[19];
+ m_A15 += gt[20];
+ m_A15 += gt[21];
+ m_A15 += gt[22];
+ m_A15 += gt[23];
+ m_A16 += go[17];
+ double RHS7 = Idr[17];
+ RHS7 += Idr[18];
+ RHS7 += Idr[19];
+ RHS7 += Idr[20];
+ RHS7 += Idr[21];
+ RHS7 += Idr[22];
+ RHS7 += Idr[23];
+ RHS7 -= go[18] * *cnV[18];
+ RHS7 -= go[19] * *cnV[19];
+ RHS7 -= go[20] * *cnV[20];
+ RHS7 -= go[21] * *cnV[21];
+ RHS7 -= go[22] * *cnV[22];
+ RHS7 -= go[23] * *cnV[23];
+ m_A17 += gt[24];
+ m_A17 += gt[25];
+ m_A18 += go[24];
+ double RHS8 = Idr[24];
+ RHS8 += Idr[25];
+ RHS8 -= go[25] * *cnV[25];
+ m_A19 += gt[26];
+ m_A19 += gt[27];
+ m_A20 += go[26];
+ double RHS9 = Idr[26];
+ RHS9 += Idr[27];
+ RHS9 -= go[27] * *cnV[27];
+ m_A21 += gt[28];
+ m_A21 += gt[29];
+ m_A22 += go[28];
+ double RHS10 = Idr[28];
+ RHS10 += Idr[29];
+ RHS10 -= go[29] * *cnV[29];
+ m_A23 += gt[30];
+ m_A23 += gt[31];
+ m_A24 += go[30];
+ double RHS11 = Idr[30];
+ RHS11 += Idr[31];
+ RHS11 -= go[31] * *cnV[31];
+ m_A25 += gt[32];
+ m_A25 += gt[33];
+ m_A25 += gt[34];
+ m_A25 += gt[35];
+ m_A27 += go[32];
+ m_A25 += go[33];
+ m_A25 += go[34];
+ m_A26 += go[35];
+ double RHS12 = Idr[32];
+ RHS12 += Idr[33];
+ RHS12 += Idr[34];
+ RHS12 += Idr[35];
+ m_A28 += gt[36];
+ m_A28 += gt[37];
+ m_A28 += gt[38];
+ m_A28 += gt[39];
+ m_A29 += go[36];
+ m_A28 += go[37];
+ m_A28 += go[38];
+ m_A30 += go[39];
+ double RHS13 = Idr[36];
+ RHS13 += Idr[37];
+ RHS13 += Idr[38];
+ RHS13 += Idr[39];
+ m_A31 += gt[40];
+ m_A31 += gt[41];
+ m_A33 += go[40];
+ m_A32 += go[41];
+ double RHS14 = Idr[40];
+ RHS14 += Idr[41];
+ m_A34 += gt[42];
+ m_A34 += gt[43];
+ m_A36 += go[42];
+ m_A35 += go[43];
+ double RHS15 = Idr[42];
+ RHS15 += Idr[43];
+ m_A37 += gt[44];
+ m_A37 += gt[45];
+ m_A38 += go[44];
+ double RHS16 = Idr[44];
+ RHS16 += Idr[45];
+ RHS16 -= go[45] * *cnV[45];
+ m_A39 += gt[46];
+ m_A39 += gt[47];
+ m_A41 += go[46];
+ m_A40 += go[47];
+ double RHS17 = Idr[46];
+ RHS17 += Idr[47];
+ m_A42 += gt[48];
+ m_A42 += gt[49];
+ m_A44 += go[48];
+ m_A43 += go[49];
+ double RHS18 = Idr[48];
+ RHS18 += Idr[49];
+ m_A45 += gt[50];
+ m_A45 += gt[51];
+ m_A47 += go[50];
+ m_A46 += go[51];
+ double RHS19 = Idr[50];
+ RHS19 += Idr[51];
+ m_A48 += gt[52];
+ m_A48 += gt[53];
+ m_A50 += go[52];
+ m_A49 += go[53];
+ double RHS20 = Idr[52];
+ RHS20 += Idr[53];
+ m_A53 += gt[54];
+ m_A53 += gt[55];
+ m_A53 += gt[56];
+ m_A52 += go[54];
+ m_A51 += go[55];
+ double RHS21 = Idr[54];
+ RHS21 += Idr[55];
+ RHS21 += Idr[56];
+ RHS21 -= go[56] * *cnV[56];
+ m_A59 += gt[57];
+ m_A59 += gt[58];
+ m_A59 += gt[59];
+ m_A59 += gt[60];
+ m_A59 += gt[61];
+ m_A58 += go[57];
+ m_A57 += go[58];
+ m_A56 += go[59];
+ m_A55 += go[60];
+ double RHS22 = Idr[57];
+ RHS22 += Idr[58];
+ RHS22 += Idr[59];
+ RHS22 += Idr[60];
+ RHS22 += Idr[61];
+ RHS22 -= go[61] * *cnV[61];
+ m_A63 += gt[62];
+ m_A63 += gt[63];
+ m_A63 += gt[64];
+ m_A63 += gt[65];
+ m_A62 += go[62];
+ m_A63 += go[63];
+ m_A63 += go[64];
+ m_A61 += go[65];
+ double RHS23 = Idr[62];
+ RHS23 += Idr[63];
+ RHS23 += Idr[64];
+ RHS23 += Idr[65];
+ m_A67 += gt[66];
+ m_A67 += gt[67];
+ m_A67 += gt[68];
+ m_A67 += gt[69];
+ m_A66 += go[66];
+ m_A67 += go[67];
+ m_A67 += go[68];
+ m_A65 += go[69];
+ double RHS24 = Idr[66];
+ RHS24 += Idr[67];
+ RHS24 += Idr[68];
+ RHS24 += Idr[69];
+ m_A69 += gt[70];
+ m_A69 += gt[71];
+ m_A69 += gt[72];
+ m_A69 += gt[73];
+ m_A69 += gt[74];
+ m_A69 += gt[75];
+ m_A69 += gt[76];
+ m_A70 += go[70];
+ double RHS25 = Idr[70];
+ RHS25 += Idr[71];
+ RHS25 += Idr[72];
+ RHS25 += Idr[73];
+ RHS25 += Idr[74];
+ RHS25 += Idr[75];
+ RHS25 += Idr[76];
+ RHS25 -= go[71] * *cnV[71];
+ RHS25 -= go[72] * *cnV[72];
+ RHS25 -= go[73] * *cnV[73];
+ RHS25 -= go[74] * *cnV[74];
+ RHS25 -= go[75] * *cnV[75];
+ RHS25 -= go[76] * *cnV[76];
+ m_A73 += gt[77];
+ m_A73 += gt[78];
+ m_A73 += gt[79];
+ m_A73 += gt[80];
+ m_A72 += go[77];
+ m_A73 += go[78];
+ m_A73 += go[79];
+ m_A71 += go[80];
+ double RHS26 = Idr[77];
+ RHS26 += Idr[78];
+ RHS26 += Idr[79];
+ RHS26 += Idr[80];
+ m_A77 += gt[81];
+ m_A77 += gt[82];
+ m_A77 += gt[83];
+ m_A77 += gt[84];
+ m_A76 += go[81];
+ m_A77 += go[82];
+ m_A77 += go[83];
+ m_A75 += go[84];
+ double RHS27 = Idr[81];
+ RHS27 += Idr[82];
+ RHS27 += Idr[83];
+ RHS27 += Idr[84];
+ m_A81 += gt[85];
+ m_A81 += gt[86];
+ m_A81 += gt[87];
+ m_A81 += gt[88];
+ m_A80 += go[85];
+ m_A81 += go[86];
+ m_A81 += go[87];
+ m_A79 += go[88];
+ double RHS28 = Idr[85];
+ RHS28 += Idr[86];
+ RHS28 += Idr[87];
+ RHS28 += Idr[88];
+ m_A85 += gt[89];
+ m_A85 += gt[90];
+ m_A85 += gt[91];
+ m_A86 += go[89];
+ m_A83 += go[90];
+ double RHS29 = Idr[89];
+ RHS29 += Idr[90];
+ RHS29 += Idr[91];
+ RHS29 -= go[91] * *cnV[91];
+ m_A89 += gt[92];
+ m_A89 += gt[93];
+ m_A90 += go[92];
+ m_A87 += go[93];
+ double RHS30 = Idr[92];
+ RHS30 += Idr[93];
+ m_A92 += gt[94];
+ m_A92 += gt[95];
+ m_A94 += go[94];
+ m_A91 += go[95];
+ double RHS31 = Idr[94];
+ RHS31 += Idr[95];
+ m_A97 += gt[96];
+ m_A97 += gt[97];
+ m_A97 += gt[98];
+ m_A97 += gt[99];
+ m_A96 += go[96];
+ m_A97 += go[97];
+ m_A97 += go[98];
+ m_A95 += go[99];
+ double RHS32 = Idr[96];
+ RHS32 += Idr[97];
+ RHS32 += Idr[98];
+ RHS32 += Idr[99];
+ m_A101 += gt[100];
+ m_A101 += gt[101];
+ m_A101 += gt[102];
+ m_A101 += gt[103];
+ m_A101 += gt[104];
+ m_A100 += go[100];
+ m_A99 += go[101];
+ m_A102 += go[102];
+ double RHS33 = Idr[100];
+ RHS33 += Idr[101];
+ RHS33 += Idr[102];
+ RHS33 += Idr[103];
+ RHS33 += Idr[104];
+ RHS33 -= go[103] * *cnV[103];
+ RHS33 -= go[104] * *cnV[104];
+ m_A107 += gt[105];
+ m_A107 += gt[106];
+ m_A107 += gt[107];
+ m_A107 += gt[108];
+ m_A107 += gt[109];
+ m_A103 += go[105];
+ m_A104 += go[106];
+ m_A105 += go[107];
+ double RHS34 = Idr[105];
+ RHS34 += Idr[106];
+ RHS34 += Idr[107];
+ RHS34 += Idr[108];
+ RHS34 += Idr[109];
+ RHS34 -= go[108] * *cnV[108];
+ RHS34 -= go[109] * *cnV[109];
+ m_A125 += gt[110];
+ m_A125 += gt[111];
+ m_A125 += gt[112];
+ m_A125 += gt[113];
+ m_A125 += gt[114];
+ m_A125 += gt[115];
+ m_A125 += gt[116];
+ m_A125 += gt[117];
+ m_A125 += gt[118];
+ m_A125 += gt[119];
+ m_A123 += go[110];
+ m_A114 += go[111];
+ m_A121 += go[112];
+ m_A113 += go[113];
+ m_A112 += go[114];
+ m_A111 += go[115];
+ m_A110 += go[116];
+ m_A109 += go[117];
+ m_A120 += go[118];
+ double RHS35 = Idr[110];
+ RHS35 += Idr[111];
+ RHS35 += Idr[112];
+ RHS35 += Idr[113];
+ RHS35 += Idr[114];
+ RHS35 += Idr[115];
+ RHS35 += Idr[116];
+ RHS35 += Idr[117];
+ RHS35 += Idr[118];
+ RHS35 += Idr[119];
+ RHS35 -= go[119] * *cnV[119];
+ const double f0 = 1.0 / m_A0;
+ const double f0_23 = -f0 * m_A61;
+ m_A63 += m_A1 * f0_23;
+ RHS23 += f0_23 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_22 = -f1 * m_A55;
+ m_A59 += m_A3 * f1_22;
+ RHS22 += f1_22 * RHS1;
+ const double f2 = 1.0 / m_A4;
+ const double f2_22 = -f2 * m_A56;
+ m_A59 += m_A5 * f2_22;
+ RHS22 += f2_22 * RHS2;
+ const double f3 = 1.0 / m_A6;
+ const double f3_22 = -f3 * m_A57;
+ m_A59 += m_A7 * f3_22;
+ RHS22 += f3_22 * RHS3;
+ const double f4 = 1.0 / m_A8;
+ const double f4_21 = -f4 * m_A51;
+ m_A53 += m_A9 * f4_21;
+ RHS21 += f4_21 * RHS4;
+ const double f5 = 1.0 / m_A10;
+ const double f5_24 = -f5 * m_A65;
+ m_A67 += m_A11 * f5_24;
+ RHS24 += f5_24 * RHS5;
+ const double f6 = 1.0 / m_A12;
+ const double f6_21 = -f6 * m_A52;
+ m_A53 += m_A13 * f6_21;
+ m_A54 += m_A14 * f6_21;
+ RHS21 += f6_21 * RHS6;
+ const double f6_29 = -f6 * m_A83;
+ m_A84 += m_A13 * f6_29;
+ m_A85 += m_A14 * f6_29;
+ RHS29 += f6_29 * RHS6;
+ const double f7 = 1.0 / m_A15;
+ const double f7_34 = -f7 * m_A103;
+ m_A105 += m_A16 * f7_34;
+ RHS34 += f7_34 * RHS7;
+ const double f8 = 1.0 / m_A17;
+ const double f8_26 = -f8 * m_A71;
+ m_A73 += m_A18 * f8_26;
+ RHS26 += f8_26 * RHS8;
+ const double f9 = 1.0 / m_A19;
+ const double f9_27 = -f9 * m_A75;
+ m_A77 += m_A20 * f9_27;
+ RHS27 += f9_27 * RHS9;
+ const double f10 = 1.0 / m_A21;
+ const double f10_28 = -f10 * m_A79;
+ m_A81 += m_A22 * f10_28;
+ RHS28 += f10_28 * RHS10;
+ const double f11 = 1.0 / m_A23;
+ const double f11_32 = -f11 * m_A95;
+ m_A97 += m_A24 * f11_32;
+ RHS32 += f11_32 * RHS11;
+ const double f12 = 1.0 / m_A25;
+ const double f12_22 = -f12 * m_A58;
+ m_A59 += m_A26 * f12_22;
+ m_A60 += m_A27 * f12_22;
+ RHS22 += f12_22 * RHS12;
+ const double f12_30 = -f12 * m_A87;
+ m_A88 += m_A26 * f12_30;
+ m_A89 += m_A27 * f12_30;
+ RHS30 += f12_30 * RHS12;
+ const double f13 = 1.0 / m_A28;
+ const double f13_31 = -f13 * m_A91;
+ m_A92 += m_A29 * f13_31;
+ m_A93 += m_A30 * f13_31;
+ RHS31 += f13_31 * RHS13;
+ const double f13_34 = -f13 * m_A104;
+ m_A106 += m_A29 * f13_34;
+ m_A107 += m_A30 * f13_34;
+ RHS34 += f13_34 * RHS13;
+ const double f14 = 1.0 / m_A31;
+ const double f14_23 = -f14 * m_A62;
+ m_A63 += m_A32 * f14_23;
+ m_A64 += m_A33 * f14_23;
+ RHS23 += f14_23 * RHS14;
+ const double f14_35 = -f14 * m_A109;
+ m_A115 += m_A32 * f14_35;
+ m_A125 += m_A33 * f14_35;
+ RHS35 += f14_35 * RHS14;
+ const double f15 = 1.0 / m_A34;
+ const double f15_24 = -f15 * m_A66;
+ m_A67 += m_A35 * f15_24;
+ m_A68 += m_A36 * f15_24;
+ RHS24 += f15_24 * RHS15;
+ const double f15_35 = -f15 * m_A110;
+ m_A116 += m_A35 * f15_35;
+ m_A125 += m_A36 * f15_35;
+ RHS35 += f15_35 * RHS15;
+ const double f16 = 1.0 / m_A37;
+ const double f16_33 = -f16 * m_A99;
+ m_A101 += m_A38 * f16_33;
+ RHS33 += f16_33 * RHS16;
+ const double f17 = 1.0 / m_A39;
+ const double f17_26 = -f17 * m_A72;
+ m_A73 += m_A40 * f17_26;
+ m_A74 += m_A41 * f17_26;
+ RHS26 += f17_26 * RHS17;
+ const double f17_35 = -f17 * m_A111;
+ m_A117 += m_A40 * f17_35;
+ m_A125 += m_A41 * f17_35;
+ RHS35 += f17_35 * RHS17;
+ const double f18 = 1.0 / m_A42;
+ const double f18_27 = -f18 * m_A76;
+ m_A77 += m_A43 * f18_27;
+ m_A78 += m_A44 * f18_27;
+ RHS27 += f18_27 * RHS18;
+ const double f18_35 = -f18 * m_A112;
+ m_A118 += m_A43 * f18_35;
+ m_A125 += m_A44 * f18_35;
+ RHS35 += f18_35 * RHS18;
+ const double f19 = 1.0 / m_A45;
+ const double f19_28 = -f19 * m_A80;
+ m_A81 += m_A46 * f19_28;
+ m_A82 += m_A47 * f19_28;
+ RHS28 += f19_28 * RHS19;
+ const double f19_35 = -f19 * m_A113;
+ m_A119 += m_A46 * f19_35;
+ m_A125 += m_A47 * f19_35;
+ RHS35 += f19_35 * RHS19;
+ const double f20 = 1.0 / m_A48;
+ const double f20_32 = -f20 * m_A96;
+ m_A97 += m_A49 * f20_32;
+ m_A98 += m_A50 * f20_32;
+ RHS32 += f20_32 * RHS20;
+ const double f20_35 = -f20 * m_A114;
+ m_A122 += m_A49 * f20_35;
+ m_A125 += m_A50 * f20_35;
+ RHS35 += f20_35 * RHS20;
+ const double f21 = 1.0 / m_A53;
+ const double f21_29 = -f21 * m_A84;
+ m_A85 += m_A54 * f21_29;
+ RHS29 += f21_29 * RHS21;
+ const double f22 = 1.0 / m_A59;
+ const double f22_30 = -f22 * m_A88;
+ m_A89 += m_A60 * f22_30;
+ RHS30 += f22_30 * RHS22;
+ const double f23 = 1.0 / m_A63;
+ const double f23_35 = -f23 * m_A115;
+ m_A125 += m_A64 * f23_35;
+ RHS35 += f23_35 * RHS23;
+ const double f24 = 1.0 / m_A67;
+ const double f24_35 = -f24 * m_A116;
+ m_A125 += m_A68 * f24_35;
+ RHS35 += f24_35 * RHS24;
+ const double f25 = 1.0 / m_A69;
+ const double f25_33 = -f25 * m_A100;
+ m_A102 += m_A70 * f25_33;
+ RHS33 += f25_33 * RHS25;
+ const double f26 = 1.0 / m_A73;
+ const double f26_35 = -f26 * m_A117;
+ m_A125 += m_A74 * f26_35;
+ RHS35 += f26_35 * RHS26;
+ const double f27 = 1.0 / m_A77;
+ const double f27_35 = -f27 * m_A118;
+ m_A125 += m_A78 * f27_35;
+ RHS35 += f27_35 * RHS27;
+ const double f28 = 1.0 / m_A81;
+ const double f28_35 = -f28 * m_A119;
+ m_A125 += m_A82 * f28_35;
+ RHS35 += f28_35 * RHS28;
+ const double f29 = 1.0 / m_A85;
+ const double f29_34 = -f29 * m_A105;
+ m_A107 += m_A86 * f29_34;
+ RHS34 += f29_34 * RHS29;
+ const double f30 = 1.0 / m_A89;
+ const double f30_35 = -f30 * m_A120;
+ m_A125 += m_A90 * f30_35;
+ RHS35 += f30_35 * RHS30;
+ const double f31 = 1.0 / m_A92;
+ const double f31_34 = -f31 * m_A106;
+ m_A107 += m_A93 * f31_34;
+ m_A108 += m_A94 * f31_34;
+ RHS34 += f31_34 * RHS31;
+ const double f31_35 = -f31 * m_A121;
+ m_A124 += m_A93 * f31_35;
+ m_A125 += m_A94 * f31_35;
+ RHS35 += f31_35 * RHS31;
+ const double f32 = 1.0 / m_A97;
+ const double f32_35 = -f32 * m_A122;
+ m_A125 += m_A98 * f32_35;
+ RHS35 += f32_35 * RHS32;
+ const double f33 = 1.0 / m_A101;
+ const double f33_35 = -f33 * m_A123;
+ m_A125 += m_A102 * f33_35;
+ RHS35 += f33_35 * RHS33;
+ const double f34 = 1.0 / m_A107;
+ const double f34_35 = -f34 * m_A124;
+ m_A125 += m_A108 * f34_35;
+ RHS35 += f34_35 * RHS34;
+ V[35] = RHS35 / m_A125;
+ double tmp34 = 0.0;
+ tmp34 += m_A108 * V[35];
+ V[34] = (RHS34 - tmp34) / m_A107;
+ double tmp33 = 0.0;
+ tmp33 += m_A102 * V[35];
+ V[33] = (RHS33 - tmp33) / m_A101;
+ double tmp32 = 0.0;
+ tmp32 += m_A98 * V[35];
+ V[32] = (RHS32 - tmp32) / m_A97;
+ double tmp31 = 0.0;
+ tmp31 += m_A93 * V[34];
+ tmp31 += m_A94 * V[35];
+ V[31] = (RHS31 - tmp31) / m_A92;
+ double tmp30 = 0.0;
+ tmp30 += m_A90 * V[35];
+ V[30] = (RHS30 - tmp30) / m_A89;
+ double tmp29 = 0.0;
+ tmp29 += m_A86 * V[34];
+ V[29] = (RHS29 - tmp29) / m_A85;
+ double tmp28 = 0.0;
+ tmp28 += m_A82 * V[35];
+ V[28] = (RHS28 - tmp28) / m_A81;
+ double tmp27 = 0.0;
+ tmp27 += m_A78 * V[35];
+ V[27] = (RHS27 - tmp27) / m_A77;
+ double tmp26 = 0.0;
+ tmp26 += m_A74 * V[35];
+ V[26] = (RHS26 - tmp26) / m_A73;
+ double tmp25 = 0.0;
+ tmp25 += m_A70 * V[35];
+ V[25] = (RHS25 - tmp25) / m_A69;
+ double tmp24 = 0.0;
+ tmp24 += m_A68 * V[35];
+ V[24] = (RHS24 - tmp24) / m_A67;
+ double tmp23 = 0.0;
+ tmp23 += m_A64 * V[35];
+ V[23] = (RHS23 - tmp23) / m_A63;
+ double tmp22 = 0.0;
+ tmp22 += m_A60 * V[30];
+ V[22] = (RHS22 - tmp22) / m_A59;
+ double tmp21 = 0.0;
+ tmp21 += m_A54 * V[29];
+ V[21] = (RHS21 - tmp21) / m_A53;
+ double tmp20 = 0.0;
+ tmp20 += m_A49 * V[32];
+ tmp20 += m_A50 * V[35];
+ V[20] = (RHS20 - tmp20) / m_A48;
+ double tmp19 = 0.0;
+ tmp19 += m_A46 * V[28];
+ tmp19 += m_A47 * V[35];
+ V[19] = (RHS19 - tmp19) / m_A45;
+ double tmp18 = 0.0;
+ tmp18 += m_A43 * V[27];
+ tmp18 += m_A44 * V[35];
+ V[18] = (RHS18 - tmp18) / m_A42;
+ double tmp17 = 0.0;
+ tmp17 += m_A40 * V[26];
+ tmp17 += m_A41 * V[35];
+ V[17] = (RHS17 - tmp17) / m_A39;
+ double tmp16 = 0.0;
+ tmp16 += m_A38 * V[33];
+ V[16] = (RHS16 - tmp16) / m_A37;
+ double tmp15 = 0.0;
+ tmp15 += m_A35 * V[24];
+ tmp15 += m_A36 * V[35];
+ V[15] = (RHS15 - tmp15) / m_A34;
+ double tmp14 = 0.0;
+ tmp14 += m_A32 * V[23];
+ tmp14 += m_A33 * V[35];
+ V[14] = (RHS14 - tmp14) / m_A31;
+ double tmp13 = 0.0;
+ tmp13 += m_A29 * V[31];
+ tmp13 += m_A30 * V[34];
+ V[13] = (RHS13 - tmp13) / m_A28;
+ double tmp12 = 0.0;
+ tmp12 += m_A26 * V[22];
+ tmp12 += m_A27 * V[30];
+ V[12] = (RHS12 - tmp12) / m_A25;
+ double tmp11 = 0.0;
+ tmp11 += m_A24 * V[32];
+ V[11] = (RHS11 - tmp11) / m_A23;
+ double tmp10 = 0.0;
+ tmp10 += m_A22 * V[28];
+ V[10] = (RHS10 - tmp10) / m_A21;
+ double tmp9 = 0.0;
+ tmp9 += m_A20 * V[27];
+ V[9] = (RHS9 - tmp9) / m_A19;
+ double tmp8 = 0.0;
+ tmp8 += m_A18 * V[26];
+ V[8] = (RHS8 - tmp8) / m_A17;
+ double tmp7 = 0.0;
+ tmp7 += m_A16 * V[29];
+ V[7] = (RHS7 - tmp7) / m_A15;
+ double tmp6 = 0.0;
+ tmp6 += m_A13 * V[21];
+ tmp6 += m_A14 * V[29];
+ V[6] = (RHS6 - tmp6) / m_A12;
+ double tmp5 = 0.0;
+ tmp5 += m_A11 * V[24];
+ V[5] = (RHS5 - tmp5) / m_A10;
+ double tmp4 = 0.0;
+ tmp4 += m_A9 * V[21];
+ V[4] = (RHS4 - tmp4) / m_A8;
+ double tmp3 = 0.0;
+ tmp3 += m_A7 * V[22];
+ V[3] = (RHS3 - tmp3) / m_A6;
+ double tmp2 = 0.0;
+ tmp2 += m_A5 * V[22];
+ V[2] = (RHS2 - tmp2) / m_A4;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[22];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[23];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// boxingb
static void nl_gcr_d7d45dc58b08cab9_10_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -59670,6 +64347,148 @@ static void nl_gcr_e02a162cb515a958_100_double_double(double * __restrict V, con
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_e03f0bc5ac056326_20_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A4 += go[3];
+ m_A3 += go[4];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 -= go[5] * *cnV[5];
+ m_A5 += gt[6];
+ m_A5 += gt[7];
+ m_A5 += gt[8];
+ m_A5 += gt[9];
+ m_A7 += go[6];
+ m_A6 += go[7];
+ double RHS2 = Idr[6];
+ RHS2 += Idr[7];
+ RHS2 += Idr[8];
+ RHS2 += Idr[9];
+ RHS2 -= go[8] * *cnV[8];
+ RHS2 -= go[9] * *cnV[9];
+ m_A10 += gt[10];
+ m_A10 += gt[11];
+ m_A9 += go[10];
+ m_A8 += go[11];
+ double RHS3 = Idr[10];
+ RHS3 += Idr[11];
+ m_A15 += gt[12];
+ m_A15 += gt[13];
+ m_A15 += gt[14];
+ m_A13 += go[12];
+ m_A12 += go[13];
+ double RHS4 = Idr[12];
+ RHS4 += Idr[13];
+ RHS4 += Idr[14];
+ RHS4 -= go[14] * *cnV[14];
+ m_A19 += gt[15];
+ m_A19 += gt[16];
+ m_A19 += gt[17];
+ m_A19 += gt[18];
+ m_A19 += gt[19];
+ m_A19 += gt[20];
+ m_A19 += gt[21];
+ m_A17 += go[15];
+ m_A18 += go[16];
+ double RHS5 = Idr[15];
+ RHS5 += Idr[16];
+ RHS5 += Idr[17];
+ RHS5 += Idr[18];
+ RHS5 += Idr[19];
+ RHS5 += Idr[20];
+ RHS5 += Idr[21];
+ RHS5 -= go[17] * *cnV[17];
+ RHS5 -= go[18] * *cnV[18];
+ RHS5 -= go[19] * *cnV[19];
+ RHS5 -= go[20] * *cnV[20];
+ RHS5 -= go[21] * *cnV[21];
+ const double f0 = 1.0 / m_A0;
+ const double f0_3 = -f0 * m_A8;
+ m_A10 += m_A1 * f0_3;
+ RHS3 += f0_3 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_3 = -f1 * m_A9;
+ m_A10 += m_A3 * f1_3;
+ m_A11 += m_A4 * f1_3;
+ RHS3 += f1_3 * RHS1;
+ const double f1_4 = -f1 * m_A12;
+ m_A14 += m_A3 * f1_4;
+ m_A15 += m_A4 * f1_4;
+ RHS4 += f1_4 * RHS1;
+ const double f2 = 1.0 / m_A5;
+ const double f2_4 = -f2 * m_A13;
+ m_A15 += m_A6 * f2_4;
+ m_A16 += m_A7 * f2_4;
+ RHS4 += f2_4 * RHS2;
+ const double f2_5 = -f2 * m_A17;
+ m_A18 += m_A6 * f2_5;
+ m_A19 += m_A7 * f2_5;
+ RHS5 += f2_5 * RHS2;
+ const double f3 = 1.0 / m_A10;
+ const double f3_4 = -f3 * m_A14;
+ m_A15 += m_A11 * f3_4;
+ RHS4 += f3_4 * RHS3;
+ const double f4 = 1.0 / m_A15;
+ const double f4_5 = -f4 * m_A18;
+ m_A19 += m_A16 * f4_5;
+ RHS5 += f4_5 * RHS4;
+ V[5] = RHS5 / m_A19;
+ double tmp4 = 0.0;
+ tmp4 += m_A16 * V[5];
+ V[4] = (RHS4 - tmp4) / m_A15;
+ double tmp3 = 0.0;
+ tmp3 += m_A11 * V[4];
+ V[3] = (RHS3 - tmp3) / m_A10;
+ double tmp2 = 0.0;
+ tmp2 += m_A6 * V[4];
+ tmp2 += m_A7 * V[5];
+ V[2] = (RHS2 - tmp2) / m_A5;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[3];
+ tmp1 += m_A4 * V[4];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[3];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// speedfrk
static void nl_gcr_e07b5b086812756c_7_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -69567,6 +74386,560 @@ static void nl_gcr_f8f6a951fd1af6bc_7_double_double(double * __restrict V, const
V[0] = (RHS0 - tmp0) / m_A0;
}
+// brdrline
+static void nl_gcr_f99b1245e708ec85_83_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
+
+{
+
+ plib::unused_var(cnV);
+ double m_A0(0.0);
+ double m_A1(0.0);
+ double m_A2(0.0);
+ double m_A3(0.0);
+ double m_A4(0.0);
+ double m_A5(0.0);
+ double m_A6(0.0);
+ double m_A7(0.0);
+ double m_A8(0.0);
+ double m_A9(0.0);
+ double m_A10(0.0);
+ double m_A11(0.0);
+ double m_A12(0.0);
+ double m_A13(0.0);
+ double m_A14(0.0);
+ double m_A15(0.0);
+ double m_A16(0.0);
+ double m_A17(0.0);
+ double m_A18(0.0);
+ double m_A19(0.0);
+ double m_A20(0.0);
+ double m_A21(0.0);
+ double m_A22(0.0);
+ double m_A23(0.0);
+ double m_A24(0.0);
+ double m_A25(0.0);
+ double m_A26(0.0);
+ double m_A27(0.0);
+ double m_A28(0.0);
+ double m_A29(0.0);
+ double m_A30(0.0);
+ double m_A31(0.0);
+ double m_A32(0.0);
+ double m_A33(0.0);
+ double m_A34(0.0);
+ double m_A35(0.0);
+ double m_A36(0.0);
+ double m_A37(0.0);
+ double m_A38(0.0);
+ double m_A39(0.0);
+ double m_A40(0.0);
+ double m_A41(0.0);
+ double m_A42(0.0);
+ double m_A43(0.0);
+ double m_A44(0.0);
+ double m_A45(0.0);
+ double m_A46(0.0);
+ double m_A47(0.0);
+ double m_A48(0.0);
+ double m_A49(0.0);
+ double m_A50(0.0);
+ double m_A51(0.0);
+ double m_A52(0.0);
+ double m_A53(0.0);
+ double m_A54(0.0);
+ double m_A55(0.0);
+ double m_A56(0.0);
+ double m_A57(0.0);
+ double m_A58(0.0);
+ double m_A59(0.0);
+ double m_A60(0.0);
+ double m_A61(0.0);
+ double m_A62(0.0);
+ double m_A63(0.0);
+ double m_A64(0.0);
+ double m_A65(0.0);
+ double m_A66(0.0);
+ double m_A67(0.0);
+ double m_A68(0.0);
+ double m_A69(0.0);
+ double m_A70(0.0);
+ double m_A71(0.0);
+ double m_A72(0.0);
+ double m_A73(0.0);
+ double m_A74(0.0);
+ double m_A75(0.0);
+ double m_A76(0.0);
+ double m_A77(0.0);
+ double m_A78(0.0);
+ double m_A79(0.0);
+ double m_A80(0.0);
+ double m_A81(0.0);
+ double m_A82(0.0);
+ m_A0 += gt[0];
+ m_A0 += gt[1];
+ m_A0 += gt[2];
+ m_A1 += go[0];
+ double RHS0 = Idr[0];
+ RHS0 += Idr[1];
+ RHS0 += Idr[2];
+ RHS0 -= go[1] * *cnV[1];
+ RHS0 -= go[2] * *cnV[2];
+ m_A2 += gt[3];
+ m_A2 += gt[4];
+ m_A2 += gt[5];
+ m_A4 += go[3];
+ m_A3 += go[4];
+ double RHS1 = Idr[3];
+ RHS1 += Idr[4];
+ RHS1 += Idr[5];
+ RHS1 -= go[5] * *cnV[5];
+ m_A5 += gt[6];
+ m_A5 += gt[7];
+ m_A6 += go[6];
+ double RHS2 = Idr[6];
+ RHS2 += Idr[7];
+ RHS2 -= go[7] * *cnV[7];
+ m_A7 += gt[8];
+ m_A7 += gt[9];
+ m_A7 += gt[10];
+ m_A7 += gt[11];
+ m_A8 += go[8];
+ double RHS3 = Idr[8];
+ RHS3 += Idr[9];
+ RHS3 += Idr[10];
+ RHS3 += Idr[11];
+ RHS3 -= go[9] * *cnV[9];
+ RHS3 -= go[10] * *cnV[10];
+ RHS3 -= go[11] * *cnV[11];
+ m_A9 += gt[12];
+ m_A9 += gt[13];
+ m_A9 += gt[14];
+ m_A10 += go[12];
+ double RHS4 = Idr[12];
+ RHS4 += Idr[13];
+ RHS4 += Idr[14];
+ RHS4 -= go[13] * *cnV[13];
+ RHS4 -= go[14] * *cnV[14];
+ m_A11 += gt[15];
+ m_A11 += gt[16];
+ m_A11 += gt[17];
+ m_A11 += gt[18];
+ m_A11 += gt[19];
+ m_A11 += gt[20];
+ m_A14 += go[15];
+ m_A13 += go[16];
+ m_A15 += go[17];
+ m_A12 += go[18];
+ double RHS5 = Idr[15];
+ RHS5 += Idr[16];
+ RHS5 += Idr[17];
+ RHS5 += Idr[18];
+ RHS5 += Idr[19];
+ RHS5 += Idr[20];
+ RHS5 -= go[19] * *cnV[19];
+ RHS5 -= go[20] * *cnV[20];
+ m_A16 += gt[21];
+ m_A16 += gt[22];
+ m_A16 += gt[23];
+ m_A17 += go[21];
+ double RHS6 = Idr[21];
+ RHS6 += Idr[22];
+ RHS6 += Idr[23];
+ RHS6 -= go[22] * *cnV[22];
+ RHS6 -= go[23] * *cnV[23];
+ m_A18 += gt[24];
+ m_A18 += gt[25];
+ m_A18 += gt[26];
+ m_A18 += gt[27];
+ m_A19 += go[24];
+ double RHS7 = Idr[24];
+ RHS7 += Idr[25];
+ RHS7 += Idr[26];
+ RHS7 += Idr[27];
+ RHS7 -= go[25] * *cnV[25];
+ RHS7 -= go[26] * *cnV[26];
+ RHS7 -= go[27] * *cnV[27];
+ m_A22 += gt[28];
+ m_A22 += gt[29];
+ m_A22 += gt[30];
+ m_A20 += go[28];
+ m_A21 += go[29];
+ double RHS8 = Idr[28];
+ RHS8 += Idr[29];
+ RHS8 += Idr[30];
+ RHS8 -= go[30] * *cnV[30];
+ m_A27 += gt[31];
+ m_A27 += gt[32];
+ m_A27 += gt[33];
+ m_A27 += gt[34];
+ m_A27 += gt[35];
+ m_A27 += gt[36];
+ m_A28 += go[31];
+ m_A29 += go[32];
+ m_A29 += go[33];
+ m_A26 += go[34];
+ double RHS9 = Idr[31];
+ RHS9 += Idr[32];
+ RHS9 += Idr[33];
+ RHS9 += Idr[34];
+ RHS9 += Idr[35];
+ RHS9 += Idr[36];
+ RHS9 -= go[35] * *cnV[35];
+ RHS9 -= go[36] * *cnV[36];
+ m_A31 += gt[37];
+ m_A31 += gt[38];
+ m_A31 += gt[39];
+ m_A31 += gt[40];
+ m_A31 += gt[41];
+ m_A31 += gt[42];
+ m_A31 += gt[43];
+ m_A33 += go[37];
+ m_A30 += go[38];
+ double RHS10 = Idr[37];
+ RHS10 += Idr[38];
+ RHS10 += Idr[39];
+ RHS10 += Idr[40];
+ RHS10 += Idr[41];
+ RHS10 += Idr[42];
+ RHS10 += Idr[43];
+ RHS10 -= go[39] * *cnV[39];
+ RHS10 -= go[40] * *cnV[40];
+ RHS10 -= go[41] * *cnV[41];
+ RHS10 -= go[42] * *cnV[42];
+ RHS10 -= go[43] * *cnV[43];
+ m_A35 += gt[44];
+ m_A35 += gt[45];
+ m_A35 += gt[46];
+ m_A35 += gt[47];
+ m_A35 += gt[48];
+ m_A35 += gt[49];
+ m_A36 += go[44];
+ m_A37 += go[45];
+ m_A38 += go[46];
+ m_A34 += go[47];
+ double RHS11 = Idr[44];
+ RHS11 += Idr[45];
+ RHS11 += Idr[46];
+ RHS11 += Idr[47];
+ RHS11 += Idr[48];
+ RHS11 += Idr[49];
+ RHS11 -= go[48] * *cnV[48];
+ RHS11 -= go[49] * *cnV[49];
+ m_A40 += gt[50];
+ m_A40 += gt[51];
+ m_A40 += gt[52];
+ m_A39 += go[50];
+ m_A41 += go[51];
+ double RHS12 = Idr[50];
+ RHS12 += Idr[51];
+ RHS12 += Idr[52];
+ RHS12 -= go[52] * *cnV[52];
+ m_A43 += gt[53];
+ m_A43 += gt[54];
+ m_A43 += gt[55];
+ m_A43 += gt[56];
+ m_A43 += gt[57];
+ m_A43 += gt[58];
+ m_A43 += gt[59];
+ m_A44 += go[53];
+ m_A42 += go[54];
+ double RHS13 = Idr[53];
+ RHS13 += Idr[54];
+ RHS13 += Idr[55];
+ RHS13 += Idr[56];
+ RHS13 += Idr[57];
+ RHS13 += Idr[58];
+ RHS13 += Idr[59];
+ RHS13 -= go[55] * *cnV[55];
+ RHS13 -= go[56] * *cnV[56];
+ RHS13 -= go[57] * *cnV[57];
+ RHS13 -= go[58] * *cnV[58];
+ RHS13 -= go[59] * *cnV[59];
+ m_A47 += gt[60];
+ m_A47 += gt[61];
+ m_A50 += go[60];
+ m_A45 += go[61];
+ double RHS14 = Idr[60];
+ RHS14 += Idr[61];
+ m_A52 += gt[62];
+ m_A52 += gt[63];
+ m_A52 += gt[64];
+ m_A52 += gt[65];
+ m_A52 += gt[66];
+ m_A52 += gt[67];
+ m_A52 += gt[68];
+ m_A51 += go[62];
+ m_A53 += go[63];
+ double RHS15 = Idr[62];
+ RHS15 += Idr[63];
+ RHS15 += Idr[64];
+ RHS15 += Idr[65];
+ RHS15 += Idr[66];
+ RHS15 += Idr[67];
+ RHS15 += Idr[68];
+ RHS15 -= go[64] * *cnV[64];
+ RHS15 -= go[65] * *cnV[65];
+ RHS15 -= go[66] * *cnV[66];
+ RHS15 -= go[67] * *cnV[67];
+ RHS15 -= go[68] * *cnV[68];
+ m_A61 += gt[69];
+ m_A61 += gt[70];
+ m_A61 += gt[71];
+ m_A54 += go[69];
+ m_A55 += go[70];
+ m_A57 += go[71];
+ double RHS16 = Idr[69];
+ RHS16 += Idr[70];
+ RHS16 += Idr[71];
+ m_A71 += gt[72];
+ m_A71 += gt[73];
+ m_A71 += gt[74];
+ m_A71 += gt[75];
+ m_A71 += gt[76];
+ m_A68 += go[72];
+ m_A64 += go[73];
+ m_A67 += go[74];
+ m_A65 += go[75];
+ m_A65 += go[76];
+ double RHS17 = Idr[72];
+ RHS17 += Idr[73];
+ RHS17 += Idr[74];
+ RHS17 += Idr[75];
+ RHS17 += Idr[76];
+ m_A74 += gt[77];
+ m_A74 += gt[78];
+ m_A74 += gt[79];
+ m_A73 += go[77];
+ m_A75 += go[78];
+ double RHS18 = Idr[77];
+ RHS18 += Idr[78];
+ RHS18 += Idr[79];
+ RHS18 -= go[79] * *cnV[79];
+ m_A82 += gt[80];
+ m_A82 += gt[81];
+ m_A82 += gt[82];
+ m_A82 += gt[83];
+ m_A81 += go[80];
+ m_A76 += go[81];
+ m_A77 += go[82];
+ double RHS19 = Idr[80];
+ RHS19 += Idr[81];
+ RHS19 += Idr[82];
+ RHS19 += Idr[83];
+ RHS19 -= go[83] * *cnV[83];
+ const double f0 = 1.0 / m_A0;
+ const double f0_9 = -f0 * m_A26;
+ m_A27 += m_A1 * f0_9;
+ RHS9 += f0_9 * RHS0;
+ const double f1 = 1.0 / m_A2;
+ const double f1_10 = -f1 * m_A30;
+ m_A32 += m_A3 * f1_10;
+ m_A33 += m_A4 * f1_10;
+ RHS10 += f1_10 * RHS1;
+ const double f1_11 = -f1 * m_A34;
+ m_A35 += m_A3 * f1_11;
+ m_A38 += m_A4 * f1_11;
+ RHS11 += f1_11 * RHS1;
+ const double f1_17 = -f1 * m_A64;
+ m_A67 += m_A3 * f1_17;
+ m_A71 += m_A4 * f1_17;
+ RHS17 += f1_17 * RHS1;
+ const double f2 = 1.0 / m_A5;
+ const double f2_8 = -f2 * m_A20;
+ m_A22 += m_A6 * f2_8;
+ RHS8 += f2_8 * RHS2;
+ const double f3 = 1.0 / m_A7;
+ const double f3_12 = -f3 * m_A39;
+ m_A40 += m_A8 * f3_12;
+ RHS12 += f3_12 * RHS3;
+ const double f4 = 1.0 / m_A9;
+ const double f4_13 = -f4 * m_A42;
+ m_A44 += m_A10 * f4_13;
+ RHS13 += f4_13 * RHS4;
+ const double f4_16 = -f4 * m_A54;
+ m_A61 += m_A10 * f4_16;
+ RHS16 += f4_16 * RHS4;
+ const double f5 = 1.0 / m_A11;
+ const double f5_8 = -f5 * m_A21;
+ m_A22 += m_A12 * f5_8;
+ m_A23 += m_A13 * f5_8;
+ m_A24 += m_A14 * f5_8;
+ m_A25 += m_A15 * f5_8;
+ RHS8 += f5_8 * RHS5;
+ const double f5_14 = -f5 * m_A45;
+ m_A46 += m_A12 * f5_14;
+ m_A47 += m_A13 * f5_14;
+ m_A48 += m_A14 * f5_14;
+ m_A49 += m_A15 * f5_14;
+ RHS14 += f5_14 * RHS5;
+ const double f5_16 = -f5 * m_A55;
+ m_A56 += m_A12 * f5_16;
+ m_A59 += m_A13 * f5_16;
+ m_A60 += m_A14 * f5_16;
+ m_A61 += m_A15 * f5_16;
+ RHS16 += f5_16 * RHS5;
+ const double f6 = 1.0 / m_A16;
+ const double f6_15 = -f6 * m_A51;
+ m_A53 += m_A17 * f6_15;
+ RHS15 += f6_15 * RHS6;
+ const double f6_19 = -f6 * m_A76;
+ m_A82 += m_A17 * f6_19;
+ RHS19 += f6_19 * RHS6;
+ const double f7 = 1.0 / m_A18;
+ const double f7_18 = -f7 * m_A73;
+ m_A74 += m_A19 * f7_18;
+ RHS18 += f7_18 * RHS7;
+ const double f8 = 1.0 / m_A22;
+ const double f8_14 = -f8 * m_A46;
+ m_A47 += m_A23 * f8_14;
+ m_A48 += m_A24 * f8_14;
+ m_A49 += m_A25 * f8_14;
+ RHS14 += f8_14 * RHS8;
+ const double f8_16 = -f8 * m_A56;
+ m_A59 += m_A23 * f8_16;
+ m_A60 += m_A24 * f8_16;
+ m_A61 += m_A25 * f8_16;
+ RHS16 += f8_16 * RHS8;
+ const double f9 = 1.0 / m_A27;
+ const double f9_17 = -f9 * m_A65;
+ m_A66 += m_A28 * f9_17;
+ m_A71 += m_A29 * f9_17;
+ RHS17 += f9_17 * RHS9;
+ const double f10 = 1.0 / m_A31;
+ const double f10_17 = -f10 * m_A66;
+ m_A67 += m_A32 * f10_17;
+ m_A71 += m_A33 * f10_17;
+ RHS17 += f10_17 * RHS10;
+ const double f11 = 1.0 / m_A35;
+ const double f11_16 = -f11 * m_A57;
+ m_A58 += m_A36 * f11_16;
+ m_A61 += m_A37 * f11_16;
+ m_A62 += m_A38 * f11_16;
+ RHS16 += f11_16 * RHS11;
+ const double f11_17 = -f11 * m_A67;
+ m_A69 += m_A36 * f11_17;
+ m_A70 += m_A37 * f11_17;
+ m_A71 += m_A38 * f11_17;
+ RHS17 += f11_17 * RHS11;
+ const double f12 = 1.0 / m_A40;
+ const double f12_17 = -f12 * m_A68;
+ m_A71 += m_A41 * f12_17;
+ RHS17 += f12_17 * RHS12;
+ const double f13 = 1.0 / m_A43;
+ const double f13_16 = -f13 * m_A58;
+ m_A61 += m_A44 * f13_16;
+ RHS16 += f13_16 * RHS13;
+ const double f13_17 = -f13 * m_A69;
+ m_A70 += m_A44 * f13_17;
+ RHS17 += f13_17 * RHS13;
+ const double f14 = 1.0 / m_A47;
+ const double f14_16 = -f14 * m_A59;
+ m_A60 += m_A48 * f14_16;
+ m_A61 += m_A49 * f14_16;
+ m_A63 += m_A50 * f14_16;
+ RHS16 += f14_16 * RHS14;
+ const double f14_19 = -f14 * m_A77;
+ m_A78 += m_A48 * f14_19;
+ m_A79 += m_A49 * f14_19;
+ m_A82 += m_A50 * f14_19;
+ RHS19 += f14_19 * RHS14;
+ const double f15 = 1.0 / m_A52;
+ const double f15_16 = -f15 * m_A60;
+ m_A63 += m_A53 * f15_16;
+ RHS16 += f15_16 * RHS15;
+ const double f15_19 = -f15 * m_A78;
+ m_A82 += m_A53 * f15_19;
+ RHS19 += f15_19 * RHS15;
+ const double f16 = 1.0 / m_A61;
+ const double f16_17 = -f16 * m_A70;
+ m_A71 += m_A62 * f16_17;
+ m_A72 += m_A63 * f16_17;
+ RHS17 += f16_17 * RHS16;
+ const double f16_19 = -f16 * m_A79;
+ m_A80 += m_A62 * f16_19;
+ m_A82 += m_A63 * f16_19;
+ RHS19 += f16_19 * RHS16;
+ const double f17 = 1.0 / m_A71;
+ const double f17_19 = -f17 * m_A80;
+ m_A82 += m_A72 * f17_19;
+ RHS19 += f17_19 * RHS17;
+ const double f18 = 1.0 / m_A74;
+ const double f18_19 = -f18 * m_A81;
+ m_A82 += m_A75 * f18_19;
+ RHS19 += f18_19 * RHS18;
+ V[19] = RHS19 / m_A82;
+ double tmp18 = 0.0;
+ tmp18 += m_A75 * V[19];
+ V[18] = (RHS18 - tmp18) / m_A74;
+ double tmp17 = 0.0;
+ tmp17 += m_A72 * V[19];
+ V[17] = (RHS17 - tmp17) / m_A71;
+ double tmp16 = 0.0;
+ tmp16 += m_A62 * V[17];
+ tmp16 += m_A63 * V[19];
+ V[16] = (RHS16 - tmp16) / m_A61;
+ double tmp15 = 0.0;
+ tmp15 += m_A53 * V[19];
+ V[15] = (RHS15 - tmp15) / m_A52;
+ double tmp14 = 0.0;
+ tmp14 += m_A48 * V[15];
+ tmp14 += m_A49 * V[16];
+ tmp14 += m_A50 * V[19];
+ V[14] = (RHS14 - tmp14) / m_A47;
+ double tmp13 = 0.0;
+ tmp13 += m_A44 * V[16];
+ V[13] = (RHS13 - tmp13) / m_A43;
+ double tmp12 = 0.0;
+ tmp12 += m_A41 * V[17];
+ V[12] = (RHS12 - tmp12) / m_A40;
+ double tmp11 = 0.0;
+ tmp11 += m_A36 * V[13];
+ tmp11 += m_A37 * V[16];
+ tmp11 += m_A38 * V[17];
+ V[11] = (RHS11 - tmp11) / m_A35;
+ double tmp10 = 0.0;
+ tmp10 += m_A32 * V[11];
+ tmp10 += m_A33 * V[17];
+ V[10] = (RHS10 - tmp10) / m_A31;
+ double tmp9 = 0.0;
+ tmp9 += m_A28 * V[10];
+ tmp9 += m_A29 * V[17];
+ V[9] = (RHS9 - tmp9) / m_A27;
+ double tmp8 = 0.0;
+ tmp8 += m_A23 * V[14];
+ tmp8 += m_A24 * V[15];
+ tmp8 += m_A25 * V[16];
+ V[8] = (RHS8 - tmp8) / m_A22;
+ double tmp7 = 0.0;
+ tmp7 += m_A19 * V[18];
+ V[7] = (RHS7 - tmp7) / m_A18;
+ double tmp6 = 0.0;
+ tmp6 += m_A17 * V[19];
+ V[6] = (RHS6 - tmp6) / m_A16;
+ double tmp5 = 0.0;
+ tmp5 += m_A12 * V[8];
+ tmp5 += m_A13 * V[14];
+ tmp5 += m_A14 * V[15];
+ tmp5 += m_A15 * V[16];
+ V[5] = (RHS5 - tmp5) / m_A11;
+ double tmp4 = 0.0;
+ tmp4 += m_A10 * V[16];
+ V[4] = (RHS4 - tmp4) / m_A9;
+ double tmp3 = 0.0;
+ tmp3 += m_A8 * V[12];
+ V[3] = (RHS3 - tmp3) / m_A7;
+ double tmp2 = 0.0;
+ tmp2 += m_A6 * V[8];
+ V[2] = (RHS2 - tmp2) / m_A5;
+ double tmp1 = 0.0;
+ tmp1 += m_A3 * V[11];
+ tmp1 += m_A4 * V[17];
+ V[1] = (RHS1 - tmp1) / m_A2;
+ double tmp0 = 0.0;
+ tmp0 += m_A1 * V[9];
+ V[0] = (RHS0 - tmp0) / m_A0;
+}
+
// zektor
static void nl_gcr_fbff020f5f5d5a5_144_double_double(double * __restrict V, const double * __restrict go, const double * __restrict gt, const double * __restrict Idr, const double * const * __restrict cnV)
@@ -72340,399 +77713,423 @@ const plib::dynlib_static_sym nl_static_solver_syms[] = {
#if !defined(__EMSCRIPTEN__)
// elim
- {"nl_gcr_11c2ae166b240b6e_10_double_double", reinterpret_cast<void *>(&nl_gcr_11c2ae166b240b6e_10_double_double)}, // NOLINT
+ {"nl_gcr_11c2ae166b240b6e_10_double_double", reinterpret_cast<void *>(&nl_gcr_11c2ae166b240b6e_10_double_double)},
// tankbatt
- {"nl_gcr_124f7aa10f044582_16_double_double", reinterpret_cast<void *>(&nl_gcr_124f7aa10f044582_16_double_double)}, // NOLINT
+ {"nl_gcr_124f7aa10f044582_16_double_double", reinterpret_cast<void *>(&nl_gcr_124f7aa10f044582_16_double_double)},
// armora
- {"nl_gcr_1250f340dea396ae_22_double_double", reinterpret_cast<void *>(&nl_gcr_1250f340dea396ae_22_double_double)}, // NOLINT
+ {"nl_gcr_1250f340dea396ae_22_double_double", reinterpret_cast<void *>(&nl_gcr_1250f340dea396ae_22_double_double)},
// astrob
- {"nl_gcr_13833bf8c127deaa_154_double_double", reinterpret_cast<void *>(&nl_gcr_13833bf8c127deaa_154_double_double)}, // NOLINT
+ {"nl_gcr_13833bf8c127deaa_154_double_double", reinterpret_cast<void *>(&nl_gcr_13833bf8c127deaa_154_double_double)},
// pongf
- {"nl_gcr_13e7b5ac1a260dbf_10_double_double", reinterpret_cast<void *>(&nl_gcr_13e7b5ac1a260dbf_10_double_double)}, // NOLINT
+ {"nl_gcr_13e7b5ac1a260dbf_10_double_double", reinterpret_cast<void *>(&nl_gcr_13e7b5ac1a260dbf_10_double_double)},
// tailg
- {"nl_gcr_144ed14e6bafdb_119_double_double", reinterpret_cast<void *>(&nl_gcr_144ed14e6bafdb_119_double_double)}, // NOLINT
+ {"nl_gcr_144ed14e6bafdb_119_double_double", reinterpret_cast<void *>(&nl_gcr_144ed14e6bafdb_119_double_double)},
// spacewar
- {"nl_gcr_15e8f6fb021de0f9_28_double_double", reinterpret_cast<void *>(&nl_gcr_15e8f6fb021de0f9_28_double_double)}, // NOLINT
+ {"nl_gcr_15e8f6fb021de0f9_28_double_double", reinterpret_cast<void *>(&nl_gcr_15e8f6fb021de0f9_28_double_double)},
// dpatrol
- {"nl_gcr_18f4d9160b51d613_20_double_double", reinterpret_cast<void *>(&nl_gcr_18f4d9160b51d613_20_double_double)}, // NOLINT
+ {"nl_gcr_18f4d9160b51d613_20_double_double", reinterpret_cast<void *>(&nl_gcr_18f4d9160b51d613_20_double_double)},
// starhawk
- {"nl_gcr_1f1086787c94f97c_40_double_double", reinterpret_cast<void *>(&nl_gcr_1f1086787c94f97c_40_double_double)}, // NOLINT
+ {"nl_gcr_1f1086787c94f97c_40_double_double", reinterpret_cast<void *>(&nl_gcr_1f1086787c94f97c_40_double_double)},
// zac1b11142
- {"nl_gcr_1fad5cda2646cf42_30_double_double", reinterpret_cast<void *>(&nl_gcr_1fad5cda2646cf42_30_double_double)}, // NOLINT
+ {"nl_gcr_1fad5cda2646cf42_30_double_double", reinterpret_cast<void *>(&nl_gcr_1fad5cda2646cf42_30_double_double)},
// sspeedr
- {"nl_gcr_2294220d3c91e762_176_double_double", reinterpret_cast<void *>(&nl_gcr_2294220d3c91e762_176_double_double)}, // NOLINT
+ {"nl_gcr_2294220d3c91e762_176_double_double", reinterpret_cast<void *>(&nl_gcr_2294220d3c91e762_176_double_double)},
// 280zzzap
- {"nl_gcr_24643c159711f292_95_double_double", reinterpret_cast<void *>(&nl_gcr_24643c159711f292_95_double_double)}, // NOLINT
+ {"nl_gcr_24643c159711f292_95_double_double", reinterpret_cast<void *>(&nl_gcr_24643c159711f292_95_double_double)},
+// brdrline
+ {"nl_gcr_2753fc1815ce0cba_23_double_double", reinterpret_cast<void *>(&nl_gcr_2753fc1815ce0cba_23_double_double)},
// zac1b11142
- {"nl_gcr_287a160e7c36b5b0_96_double_double", reinterpret_cast<void *>(&nl_gcr_287a160e7c36b5b0_96_double_double)}, // NOLINT
+ {"nl_gcr_287a160e7c36b5b0_96_double_double", reinterpret_cast<void *>(&nl_gcr_287a160e7c36b5b0_96_double_double)},
// elim
- {"nl_gcr_28b736fe552777a9_45_double_double", reinterpret_cast<void *>(&nl_gcr_28b736fe552777a9_45_double_double)}, // NOLINT
+ {"nl_gcr_28b736fe552777a9_45_double_double", reinterpret_cast<void *>(&nl_gcr_28b736fe552777a9_45_double_double)},
// kidniki
- {"nl_gcr_294dde1e0ecca6d0_37_double_double", reinterpret_cast<void *>(&nl_gcr_294dde1e0ecca6d0_37_double_double)}, // NOLINT
+ {"nl_gcr_294dde1e0ecca6d0_37_double_double", reinterpret_cast<void *>(&nl_gcr_294dde1e0ecca6d0_37_double_double)},
// ripoff
- {"nl_gcr_295cf2e2f3d489bf_12_double_double", reinterpret_cast<void *>(&nl_gcr_295cf2e2f3d489bf_12_double_double)}, // NOLINT
+ {"nl_gcr_295cf2e2f3d489bf_12_double_double", reinterpret_cast<void *>(&nl_gcr_295cf2e2f3d489bf_12_double_double)},
// spacfury
- {"nl_gcr_2a153513d3e8e2cc_53_double_double", reinterpret_cast<void *>(&nl_gcr_2a153513d3e8e2cc_53_double_double)}, // NOLINT
+ {"nl_gcr_2a153513d3e8e2cc_53_double_double", reinterpret_cast<void *>(&nl_gcr_2a153513d3e8e2cc_53_double_double)},
// boxingb
- {"nl_gcr_2f84bc98d737730b_22_double_double", reinterpret_cast<void *>(&nl_gcr_2f84bc98d737730b_22_double_double)}, // NOLINT
+ {"nl_gcr_2f84bc98d737730b_22_double_double", reinterpret_cast<void *>(&nl_gcr_2f84bc98d737730b_22_double_double)},
+// brdrline
+ {"nl_gcr_30923b54310ae144_8_double_double", reinterpret_cast<void *>(&nl_gcr_30923b54310ae144_8_double_double)},
// tankbatt
- {"nl_gcr_328d886b444b586b_137_double_double", reinterpret_cast<void *>(&nl_gcr_328d886b444b586b_137_double_double)}, // NOLINT
+ {"nl_gcr_328d886b444b586b_137_double_double", reinterpret_cast<void *>(&nl_gcr_328d886b444b586b_137_double_double)},
// astrob
- {"nl_gcr_339c6b457f339538_159_double_double", reinterpret_cast<void *>(&nl_gcr_339c6b457f339538_159_double_double)}, // NOLINT
+ {"nl_gcr_339c6b457f339538_159_double_double", reinterpret_cast<void *>(&nl_gcr_339c6b457f339538_159_double_double)},
// solarq
- {"nl_gcr_34e910fc1896999f_76_double_double", reinterpret_cast<void *>(&nl_gcr_34e910fc1896999f_76_double_double)}, // NOLINT
+ {"nl_gcr_34e910fc1896999f_76_double_double", reinterpret_cast<void *>(&nl_gcr_34e910fc1896999f_76_double_double)},
+// brdrline
+ {"nl_gcr_3c79fd354e01fa8c_89_double_double", reinterpret_cast<void *>(&nl_gcr_3c79fd354e01fa8c_89_double_double)},
// sspeedr
- {"nl_gcr_3e833834e5ce5aee_13_double_double", reinterpret_cast<void *>(&nl_gcr_3e833834e5ce5aee_13_double_double)}, // NOLINT
+ {"nl_gcr_3e833834e5ce5aee_13_double_double", reinterpret_cast<void *>(&nl_gcr_3e833834e5ce5aee_13_double_double)},
// astrob
- {"nl_gcr_41c6441d98369158_20_double_double", reinterpret_cast<void *>(&nl_gcr_41c6441d98369158_20_double_double)}, // NOLINT
+ {"nl_gcr_41c6441d98369158_20_double_double", reinterpret_cast<void *>(&nl_gcr_41c6441d98369158_20_double_double)},
// warrior
- {"nl_gcr_42a31ce5c187b308_12_double_double", reinterpret_cast<void *>(&nl_gcr_42a31ce5c187b308_12_double_double)}, // NOLINT
+ {"nl_gcr_42a31ce5c187b308_12_double_double", reinterpret_cast<void *>(&nl_gcr_42a31ce5c187b308_12_double_double)},
// 280zzzap
- {"nl_gcr_42c57d523cac30d0_122_double_double", reinterpret_cast<void *>(&nl_gcr_42c57d523cac30d0_122_double_double)}, // NOLINT
+ {"nl_gcr_42c57d523cac30d0_122_double_double", reinterpret_cast<void *>(&nl_gcr_42c57d523cac30d0_122_double_double)},
// ripoff
- {"nl_gcr_43188bf576854ae0_10_double_double", reinterpret_cast<void *>(&nl_gcr_43188bf576854ae0_10_double_double)}, // NOLINT
+ {"nl_gcr_43188bf576854ae0_10_double_double", reinterpret_cast<void *>(&nl_gcr_43188bf576854ae0_10_double_double)},
// destroyr
- {"nl_gcr_4334c95878d1be92_399_double_double", reinterpret_cast<void *>(&nl_gcr_4334c95878d1be92_399_double_double)}, // NOLINT
+ {"nl_gcr_4334c95878d1be92_399_double_double", reinterpret_cast<void *>(&nl_gcr_4334c95878d1be92_399_double_double)},
+// brdrline
+ {"nl_gcr_437326911721091_77_double_double", reinterpret_cast<void *>(&nl_gcr_437326911721091_77_double_double)},
// kidniki
- {"nl_gcr_43f7ff9bc651cc7a_198_double_double", reinterpret_cast<void *>(&nl_gcr_43f7ff9bc651cc7a_198_double_double)}, // NOLINT
+ {"nl_gcr_43f7ff9bc651cc7a_198_double_double", reinterpret_cast<void *>(&nl_gcr_43f7ff9bc651cc7a_198_double_double)},
// gamemachine
- {"nl_gcr_491f95430bfdfd05_19_double_double", reinterpret_cast<void *>(&nl_gcr_491f95430bfdfd05_19_double_double)}, // NOLINT
+ {"nl_gcr_491f95430bfdfd05_19_double_double", reinterpret_cast<void *>(&nl_gcr_491f95430bfdfd05_19_double_double)},
// sspeedr
- {"nl_gcr_4a8e2b707bbac8a6_95_double_double", reinterpret_cast<void *>(&nl_gcr_4a8e2b707bbac8a6_95_double_double)}, // NOLINT
+ {"nl_gcr_4a8e2b707bbac8a6_95_double_double", reinterpret_cast<void *>(&nl_gcr_4a8e2b707bbac8a6_95_double_double)},
// ripoff
- {"nl_gcr_4b5ecfbb8f9fa97b_29_double_double", reinterpret_cast<void *>(&nl_gcr_4b5ecfbb8f9fa97b_29_double_double)}, // NOLINT
+ {"nl_gcr_4b5ecfbb8f9fa97b_29_double_double", reinterpret_cast<void *>(&nl_gcr_4b5ecfbb8f9fa97b_29_double_double)},
// gtrak10
- {"nl_gcr_4c46fdf7c0037727_43_double_double", reinterpret_cast<void *>(&nl_gcr_4c46fdf7c0037727_43_double_double)}, // NOLINT
+ {"nl_gcr_4c46fdf7c0037727_43_double_double", reinterpret_cast<void *>(&nl_gcr_4c46fdf7c0037727_43_double_double)},
// solarq
- {"nl_gcr_4cb524006206eb1a_25_double_double", reinterpret_cast<void *>(&nl_gcr_4cb524006206eb1a_25_double_double)}, // NOLINT
+ {"nl_gcr_4cb524006206eb1a_25_double_double", reinterpret_cast<void *>(&nl_gcr_4cb524006206eb1a_25_double_double)},
// spacfury
- {"nl_gcr_4dbd0f2aec7ef707_110_double_double", reinterpret_cast<void *>(&nl_gcr_4dbd0f2aec7ef707_110_double_double)}, // NOLINT
+ {"nl_gcr_4dbd0f2aec7ef707_110_double_double", reinterpret_cast<void *>(&nl_gcr_4dbd0f2aec7ef707_110_double_double)},
// solarq
- {"nl_gcr_4e4931ccbfb7a3c_70_double_double", reinterpret_cast<void *>(&nl_gcr_4e4931ccbfb7a3c_70_double_double)}, // NOLINT
+ {"nl_gcr_4e4931ccbfb7a3c_70_double_double", reinterpret_cast<void *>(&nl_gcr_4e4931ccbfb7a3c_70_double_double)},
// fireone
- {"nl_gcr_4f2b2f3cdc384f75_41_double_double", reinterpret_cast<void *>(&nl_gcr_4f2b2f3cdc384f75_41_double_double)}, // NOLINT
+ {"nl_gcr_4f2b2f3cdc384f75_41_double_double", reinterpret_cast<void *>(&nl_gcr_4f2b2f3cdc384f75_41_double_double)},
// boxingb
- {"nl_gcr_50f5194a994d56ec_16_double_double", reinterpret_cast<void *>(&nl_gcr_50f5194a994d56ec_16_double_double)}, // NOLINT
+ {"nl_gcr_50f5194a994d56ec_16_double_double", reinterpret_cast<void *>(&nl_gcr_50f5194a994d56ec_16_double_double)},
// starhawk
- {"nl_gcr_528a27fe9ed07d67_45_double_double", reinterpret_cast<void *>(&nl_gcr_528a27fe9ed07d67_45_double_double)}, // NOLINT
+ {"nl_gcr_528a27fe9ed07d67_45_double_double", reinterpret_cast<void *>(&nl_gcr_528a27fe9ed07d67_45_double_double)},
// astrob
- {"nl_gcr_536c3652eb3bc075_46_double_double", reinterpret_cast<void *>(&nl_gcr_536c3652eb3bc075_46_double_double)}, // NOLINT
+ {"nl_gcr_536c3652eb3bc075_46_double_double", reinterpret_cast<void *>(&nl_gcr_536c3652eb3bc075_46_double_double)},
// boxingb
- {"nl_gcr_53e1117fdb16f546_23_double_double", reinterpret_cast<void *>(&nl_gcr_53e1117fdb16f546_23_double_double)}, // NOLINT
+ {"nl_gcr_53e1117fdb16f546_23_double_double", reinterpret_cast<void *>(&nl_gcr_53e1117fdb16f546_23_double_double)},
// cheekyms
- {"nl_gcr_546396f65ce48700_12_double_double", reinterpret_cast<void *>(&nl_gcr_546396f65ce48700_12_double_double)}, // NOLINT
-// dpatrol
- {"nl_gcr_59cb6bf7cb9d17dc_7_double_double", reinterpret_cast<void *>(&nl_gcr_59cb6bf7cb9d17dc_7_double_double)}, // NOLINT
+ {"nl_gcr_546396f65ce48700_12_double_double", reinterpret_cast<void *>(&nl_gcr_546396f65ce48700_12_double_double)},
+// brdrline
+ {"nl_gcr_59cb6bf7cb9d17dc_7_double_double", reinterpret_cast<void *>(&nl_gcr_59cb6bf7cb9d17dc_7_double_double)},
// breakout
- {"nl_gcr_5a3419e2809520de_13_double_double", reinterpret_cast<void *>(&nl_gcr_5a3419e2809520de_13_double_double)}, // NOLINT
+ {"nl_gcr_5a3419e2809520de_13_double_double", reinterpret_cast<void *>(&nl_gcr_5a3419e2809520de_13_double_double)},
// segausb
- {"nl_gcr_5b73834d5f313d38_12_double_double", reinterpret_cast<void *>(&nl_gcr_5b73834d5f313d38_12_double_double)}, // NOLINT
+ {"nl_gcr_5b73834d5f313d38_12_double_double", reinterpret_cast<void *>(&nl_gcr_5b73834d5f313d38_12_double_double)},
// tailg
- {"nl_gcr_5ccf7da1202da2e5_31_double_double", reinterpret_cast<void *>(&nl_gcr_5ccf7da1202da2e5_31_double_double)}, // NOLINT
+ {"nl_gcr_5ccf7da1202da2e5_31_double_double", reinterpret_cast<void *>(&nl_gcr_5ccf7da1202da2e5_31_double_double)},
// starcas
- {"nl_gcr_5d550fc7441617a2_109_double_double", reinterpret_cast<void *>(&nl_gcr_5d550fc7441617a2_109_double_double)}, // NOLINT
+ {"nl_gcr_5d550fc7441617a2_109_double_double", reinterpret_cast<void *>(&nl_gcr_5d550fc7441617a2_109_double_double)},
// zac1b11142
- {"nl_gcr_6041272373b8603c_178_double_double", reinterpret_cast<void *>(&nl_gcr_6041272373b8603c_178_double_double)}, // NOLINT
+ {"nl_gcr_6041272373b8603c_178_double_double", reinterpret_cast<void *>(&nl_gcr_6041272373b8603c_178_double_double)},
// astrob
- {"nl_gcr_62464664b1c5aa1e_27_double_double", reinterpret_cast<void *>(&nl_gcr_62464664b1c5aa1e_27_double_double)}, // NOLINT
+ {"nl_gcr_62464664b1c5aa1e_27_double_double", reinterpret_cast<void *>(&nl_gcr_62464664b1c5aa1e_27_double_double)},
// solarq
- {"nl_gcr_62612f71055b8fd4_303_double_double", reinterpret_cast<void *>(&nl_gcr_62612f71055b8fd4_303_double_double)}, // NOLINT
+ {"nl_gcr_62612f71055b8fd4_303_double_double", reinterpret_cast<void *>(&nl_gcr_62612f71055b8fd4_303_double_double)},
// konami1x
- {"nl_gcr_62b99b9904a8c804_49_double_double", reinterpret_cast<void *>(&nl_gcr_62b99b9904a8c804_49_double_double)}, // NOLINT
+ {"nl_gcr_62b99b9904a8c804_49_double_double", reinterpret_cast<void *>(&nl_gcr_62b99b9904a8c804_49_double_double)},
// fireone
- {"nl_gcr_643133e86b2b1628_73_double_double", reinterpret_cast<void *>(&nl_gcr_643133e86b2b1628_73_double_double)}, // NOLINT
+ {"nl_gcr_643133e86b2b1628_73_double_double", reinterpret_cast<void *>(&nl_gcr_643133e86b2b1628_73_double_double)},
// starfire
- {"nl_gcr_649ebca7fa6793ed_27_double_double", reinterpret_cast<void *>(&nl_gcr_649ebca7fa6793ed_27_double_double)}, // NOLINT
+ {"nl_gcr_649ebca7fa6793ed_27_double_double", reinterpret_cast<void *>(&nl_gcr_649ebca7fa6793ed_27_double_double)},
// armora
- {"nl_gcr_64e460d8f716cd89_58_double_double", reinterpret_cast<void *>(&nl_gcr_64e460d8f716cd89_58_double_double)}, // NOLINT
+ {"nl_gcr_64e460d8f716cd89_58_double_double", reinterpret_cast<void *>(&nl_gcr_64e460d8f716cd89_58_double_double)},
// flyball
- {"nl_gcr_6622b53554e3776_291_double_double", reinterpret_cast<void *>(&nl_gcr_6622b53554e3776_291_double_double)}, // NOLINT
+ {"nl_gcr_6622b53554e3776_291_double_double", reinterpret_cast<void *>(&nl_gcr_6622b53554e3776_291_double_double)},
// solarq
- {"nl_gcr_66496d6073aca98e_20_double_double", reinterpret_cast<void *>(&nl_gcr_66496d6073aca98e_20_double_double)}, // NOLINT
+ {"nl_gcr_66496d6073aca98e_20_double_double", reinterpret_cast<void *>(&nl_gcr_66496d6073aca98e_20_double_double)},
// starhawk
- {"nl_gcr_67838e11f714c455_12_double_double", reinterpret_cast<void *>(&nl_gcr_67838e11f714c455_12_double_double)}, // NOLINT
+ {"nl_gcr_67838e11f714c455_12_double_double", reinterpret_cast<void *>(&nl_gcr_67838e11f714c455_12_double_double)},
// ripoff
- {"nl_gcr_698d5dd47fb16d5_16_double_double", reinterpret_cast<void *>(&nl_gcr_698d5dd47fb16d5_16_double_double)}, // NOLINT
+ {"nl_gcr_698d5dd47fb16d5_16_double_double", reinterpret_cast<void *>(&nl_gcr_698d5dd47fb16d5_16_double_double)},
// astrob
- {"nl_gcr_6c24726f30e8dc34_15_double_double", reinterpret_cast<void *>(&nl_gcr_6c24726f30e8dc34_15_double_double)}, // NOLINT
+ {"nl_gcr_6c24726f30e8dc34_15_double_double", reinterpret_cast<void *>(&nl_gcr_6c24726f30e8dc34_15_double_double)},
// spacfury
- {"nl_gcr_6eae7b15cd376318_43_double_double", reinterpret_cast<void *>(&nl_gcr_6eae7b15cd376318_43_double_double)}, // NOLINT
+ {"nl_gcr_6eae7b15cd376318_43_double_double", reinterpret_cast<void *>(&nl_gcr_6eae7b15cd376318_43_double_double)},
// barrier
- {"nl_gcr_6ef39a62161d596c_47_double_double", reinterpret_cast<void *>(&nl_gcr_6ef39a62161d596c_47_double_double)}, // NOLINT
+ {"nl_gcr_6ef39a62161d596c_47_double_double", reinterpret_cast<void *>(&nl_gcr_6ef39a62161d596c_47_double_double)},
// starhawk
- {"nl_gcr_723fa454468a93d_7_double_double", reinterpret_cast<void *>(&nl_gcr_723fa454468a93d_7_double_double)}, // NOLINT
+ {"nl_gcr_723fa454468a93d_7_double_double", reinterpret_cast<void *>(&nl_gcr_723fa454468a93d_7_double_double)},
// cheekyms
- {"nl_gcr_733c72a820fdbd1f_7_double_double", reinterpret_cast<void *>(&nl_gcr_733c72a820fdbd1f_7_double_double)}, // NOLINT
+ {"nl_gcr_733c72a820fdbd1f_7_double_double", reinterpret_cast<void *>(&nl_gcr_733c72a820fdbd1f_7_double_double)},
// tailg
- {"nl_gcr_7388106355fb27c3_12_double_double", reinterpret_cast<void *>(&nl_gcr_7388106355fb27c3_12_double_double)}, // NOLINT
+ {"nl_gcr_7388106355fb27c3_12_double_double", reinterpret_cast<void *>(&nl_gcr_7388106355fb27c3_12_double_double)},
// tp1985
- {"nl_gcr_73f2ba8ad4a45b26_10_double_double", reinterpret_cast<void *>(&nl_gcr_73f2ba8ad4a45b26_10_double_double)}, // NOLINT
+ {"nl_gcr_73f2ba8ad4a45b26_10_double_double", reinterpret_cast<void *>(&nl_gcr_73f2ba8ad4a45b26_10_double_double)},
// zac1b11142
- {"nl_gcr_7425594cec8024ad_30_double_double", reinterpret_cast<void *>(&nl_gcr_7425594cec8024ad_30_double_double)}, // NOLINT
+ {"nl_gcr_7425594cec8024ad_30_double_double", reinterpret_cast<void *>(&nl_gcr_7425594cec8024ad_30_double_double)},
// tp1985
- {"nl_gcr_74349e9889a2630b_7_double_double", reinterpret_cast<void *>(&nl_gcr_74349e9889a2630b_7_double_double)}, // NOLINT
+ {"nl_gcr_74349e9889a2630b_7_double_double", reinterpret_cast<void *>(&nl_gcr_74349e9889a2630b_7_double_double)},
// gunfight
- {"nl_gcr_743595e64cee0a5e_112_double_double", reinterpret_cast<void *>(&nl_gcr_743595e64cee0a5e_112_double_double)}, // NOLINT
+ {"nl_gcr_743595e64cee0a5e_112_double_double", reinterpret_cast<void *>(&nl_gcr_743595e64cee0a5e_112_double_double)},
+// brdrline
+ {"nl_gcr_75400df5d559a266_75_double_double", reinterpret_cast<void *>(&nl_gcr_75400df5d559a266_75_double_double)},
// ripoff
- {"nl_gcr_76c9e236353caed1_35_double_double", reinterpret_cast<void *>(&nl_gcr_76c9e236353caed1_35_double_double)}, // NOLINT
+ {"nl_gcr_76c9e236353caed1_35_double_double", reinterpret_cast<void *>(&nl_gcr_76c9e236353caed1_35_double_double)},
// gamemachine
- {"nl_gcr_782d79b5cbe953b1_7_double_double", reinterpret_cast<void *>(&nl_gcr_782d79b5cbe953b1_7_double_double)}, // NOLINT
+ {"nl_gcr_782d79b5cbe953b1_7_double_double", reinterpret_cast<void *>(&nl_gcr_782d79b5cbe953b1_7_double_double)},
// barrier
- {"nl_gcr_79e756c5892cf87d_31_double_double", reinterpret_cast<void *>(&nl_gcr_79e756c5892cf87d_31_double_double)}, // NOLINT
+ {"nl_gcr_79e756c5892cf87d_31_double_double", reinterpret_cast<void *>(&nl_gcr_79e756c5892cf87d_31_double_double)},
// breakout
- {"nl_gcr_7a42b97d838ca073_7_double_double", reinterpret_cast<void *>(&nl_gcr_7a42b97d838ca073_7_double_double)}, // NOLINT
+ {"nl_gcr_7a42b97d838ca073_7_double_double", reinterpret_cast<void *>(&nl_gcr_7a42b97d838ca073_7_double_double)},
// fireone
- {"nl_gcr_7aee4423e3fdbfda_128_double_double", reinterpret_cast<void *>(&nl_gcr_7aee4423e3fdbfda_128_double_double)}, // NOLINT
+ {"nl_gcr_7aee4423e3fdbfda_128_double_double", reinterpret_cast<void *>(&nl_gcr_7aee4423e3fdbfda_128_double_double)},
// astrob
- {"nl_gcr_7c86a9bc1c6aef4c_7_double_double", reinterpret_cast<void *>(&nl_gcr_7c86a9bc1c6aef4c_7_double_double)}, // NOLINT
+ {"nl_gcr_7c86a9bc1c6aef4c_7_double_double", reinterpret_cast<void *>(&nl_gcr_7c86a9bc1c6aef4c_7_double_double)},
// solarq
- {"nl_gcr_7caaa135bff3d9f3_15_double_double", reinterpret_cast<void *>(&nl_gcr_7caaa135bff3d9f3_15_double_double)}, // NOLINT
+ {"nl_gcr_7caaa135bff3d9f3_15_double_double", reinterpret_cast<void *>(&nl_gcr_7caaa135bff3d9f3_15_double_double)},
// warrior
- {"nl_gcr_8003d4625273fa4d_10_double_double", reinterpret_cast<void *>(&nl_gcr_8003d4625273fa4d_10_double_double)}, // NOLINT
+ {"nl_gcr_8003d4625273fa4d_10_double_double", reinterpret_cast<void *>(&nl_gcr_8003d4625273fa4d_10_double_double)},
// kidniki
- {"nl_gcr_8046625a0fe0959_21_double_double", reinterpret_cast<void *>(&nl_gcr_8046625a0fe0959_21_double_double)}, // NOLINT
+ {"nl_gcr_8046625a0fe0959_21_double_double", reinterpret_cast<void *>(&nl_gcr_8046625a0fe0959_21_double_double)},
// mario
- {"nl_gcr_80b4b1e5cc58d303_29_double_double", reinterpret_cast<void *>(&nl_gcr_80b4b1e5cc58d303_29_double_double)}, // NOLINT
+ {"nl_gcr_80b4b1e5cc58d303_29_double_double", reinterpret_cast<void *>(&nl_gcr_80b4b1e5cc58d303_29_double_double)},
// elim
- {"nl_gcr_81f40a54af2ca202_10_double_double", reinterpret_cast<void *>(&nl_gcr_81f40a54af2ca202_10_double_double)}, // NOLINT
+ {"nl_gcr_81f40a54af2ca202_10_double_double", reinterpret_cast<void *>(&nl_gcr_81f40a54af2ca202_10_double_double)},
// sundance
- {"nl_gcr_8446e63d7842f6a6_70_double_double", reinterpret_cast<void *>(&nl_gcr_8446e63d7842f6a6_70_double_double)}, // NOLINT
+ {"nl_gcr_8446e63d7842f6a6_70_double_double", reinterpret_cast<void *>(&nl_gcr_8446e63d7842f6a6_70_double_double)},
// dpatrol
- {"nl_gcr_85652d3e3ada285a_10_double_double", reinterpret_cast<void *>(&nl_gcr_85652d3e3ada285a_10_double_double)}, // NOLINT
+ {"nl_gcr_85652d3e3ada285a_10_double_double", reinterpret_cast<void *>(&nl_gcr_85652d3e3ada285a_10_double_double)},
// zac1b11142
- {"nl_gcr_861d39f81d29d51_12_double_double", reinterpret_cast<void *>(&nl_gcr_861d39f81d29d51_12_double_double)}, // NOLINT
+ {"nl_gcr_861d39f81d29d51_12_double_double", reinterpret_cast<void *>(&nl_gcr_861d39f81d29d51_12_double_double)},
// 280zzzap
- {"nl_gcr_864a61c57bac9c38_123_double_double", reinterpret_cast<void *>(&nl_gcr_864a61c57bac9c38_123_double_double)}, // NOLINT
+ {"nl_gcr_864a61c57bac9c38_123_double_double", reinterpret_cast<void *>(&nl_gcr_864a61c57bac9c38_123_double_double)},
// zac1b11142
- {"nl_gcr_87cb2c78a2628efd_7_double_double", reinterpret_cast<void *>(&nl_gcr_87cb2c78a2628efd_7_double_double)}, // NOLINT
+ {"nl_gcr_87cb2c78a2628efd_7_double_double", reinterpret_cast<void *>(&nl_gcr_87cb2c78a2628efd_7_double_double)},
// starcas
- {"nl_gcr_88a8ef5f6bd43d48_12_double_double", reinterpret_cast<void *>(&nl_gcr_88a8ef5f6bd43d48_12_double_double)}, // NOLINT
+ {"nl_gcr_88a8ef5f6bd43d48_12_double_double", reinterpret_cast<void *>(&nl_gcr_88a8ef5f6bd43d48_12_double_double)},
// breakout
- {"nl_gcr_8a1565d1413f42f7_31_double_double", reinterpret_cast<void *>(&nl_gcr_8a1565d1413f42f7_31_double_double)}, // NOLINT
+ {"nl_gcr_8a1565d1413f42f7_31_double_double", reinterpret_cast<void *>(&nl_gcr_8a1565d1413f42f7_31_double_double)},
// starhawk
- {"nl_gcr_8b1ac1e181eec3fc_40_double_double", reinterpret_cast<void *>(&nl_gcr_8b1ac1e181eec3fc_40_double_double)}, // NOLINT
+ {"nl_gcr_8b1ac1e181eec3fc_40_double_double", reinterpret_cast<void *>(&nl_gcr_8b1ac1e181eec3fc_40_double_double)},
// rebound
- {"nl_gcr_8bec817b324dcc3_28_double_double", reinterpret_cast<void *>(&nl_gcr_8bec817b324dcc3_28_double_double)}, // NOLINT
+ {"nl_gcr_8bec817b324dcc3_28_double_double", reinterpret_cast<void *>(&nl_gcr_8bec817b324dcc3_28_double_double)},
// cocoloco
- {"nl_gcr_8c0f7f2284333de5_16_double_double", reinterpret_cast<void *>(&nl_gcr_8c0f7f2284333de5_16_double_double)}, // NOLINT
+ {"nl_gcr_8c0f7f2284333de5_16_double_double", reinterpret_cast<void *>(&nl_gcr_8c0f7f2284333de5_16_double_double)},
// spacfury
- {"nl_gcr_8c1dd4afcf0f8ea2_15_double_double", reinterpret_cast<void *>(&nl_gcr_8c1dd4afcf0f8ea2_15_double_double)}, // NOLINT
+ {"nl_gcr_8c1dd4afcf0f8ea2_15_double_double", reinterpret_cast<void *>(&nl_gcr_8c1dd4afcf0f8ea2_15_double_double)},
// fireone
- {"nl_gcr_8c512fd6a6dabc50_35_double_double", reinterpret_cast<void *>(&nl_gcr_8c512fd6a6dabc50_35_double_double)}, // NOLINT
+ {"nl_gcr_8c512fd6a6dabc50_35_double_double", reinterpret_cast<void *>(&nl_gcr_8c512fd6a6dabc50_35_double_double)},
// segausb
- {"nl_gcr_8cc4eb213eaeef9b_30_double_double", reinterpret_cast<void *>(&nl_gcr_8cc4eb213eaeef9b_30_double_double)}, // NOLINT
+ {"nl_gcr_8cc4eb213eaeef9b_30_double_double", reinterpret_cast<void *>(&nl_gcr_8cc4eb213eaeef9b_30_double_double)},
// starcrus
- {"nl_gcr_8d7bddf33d942482_129_double_double", reinterpret_cast<void *>(&nl_gcr_8d7bddf33d942482_129_double_double)}, // NOLINT
+ {"nl_gcr_8d7bddf33d942482_129_double_double", reinterpret_cast<void *>(&nl_gcr_8d7bddf33d942482_129_double_double)},
// tank
- {"nl_gcr_930b64361c2cdba8_328_double_double", reinterpret_cast<void *>(&nl_gcr_930b64361c2cdba8_328_double_double)}, // NOLINT
+ {"nl_gcr_930b64361c2cdba8_328_double_double", reinterpret_cast<void *>(&nl_gcr_930b64361c2cdba8_328_double_double)},
// gamemachine
- {"nl_gcr_934712b55bb3b2b2_10_double_double", reinterpret_cast<void *>(&nl_gcr_934712b55bb3b2b2_10_double_double)}, // NOLINT
+ {"nl_gcr_934712b55bb3b2b2_10_double_double", reinterpret_cast<void *>(&nl_gcr_934712b55bb3b2b2_10_double_double)},
// kidniki
- {"nl_gcr_9a5874c8e2da79d2_37_double_double", reinterpret_cast<void *>(&nl_gcr_9a5874c8e2da79d2_37_double_double)}, // NOLINT
+ {"nl_gcr_9a5874c8e2da79d2_37_double_double", reinterpret_cast<void *>(&nl_gcr_9a5874c8e2da79d2_37_double_double)},
// fireone
- {"nl_gcr_9aa159329f86ca8b_70_double_double", reinterpret_cast<void *>(&nl_gcr_9aa159329f86ca8b_70_double_double)}, // NOLINT
+ {"nl_gcr_9aa159329f86ca8b_70_double_double", reinterpret_cast<void *>(&nl_gcr_9aa159329f86ca8b_70_double_double)},
// warrior
- {"nl_gcr_9c975530a1a529d6_7_double_double", reinterpret_cast<void *>(&nl_gcr_9c975530a1a529d6_7_double_double)}, // NOLINT
+ {"nl_gcr_9c975530a1a529d6_7_double_double", reinterpret_cast<void *>(&nl_gcr_9c975530a1a529d6_7_double_double)},
// kidniki
- {"nl_gcr_9f141889c2091efc_24_double_double", reinterpret_cast<void *>(&nl_gcr_9f141889c2091efc_24_double_double)}, // NOLINT
+ {"nl_gcr_9f141889c2091efc_24_double_double", reinterpret_cast<void *>(&nl_gcr_9f141889c2091efc_24_double_double)},
// segas16b_audio
- {"nl_gcr_9f7104c5e25c87dd_111_double_double", reinterpret_cast<void *>(&nl_gcr_9f7104c5e25c87dd_111_double_double)}, // NOLINT
+ {"nl_gcr_9f7104c5e25c87dd_111_double_double", reinterpret_cast<void *>(&nl_gcr_9f7104c5e25c87dd_111_double_double)},
// boxingb
- {"nl_gcr_a0bf548977306172_25_double_double", reinterpret_cast<void *>(&nl_gcr_a0bf548977306172_25_double_double)}, // NOLINT
+ {"nl_gcr_a0bf548977306172_25_double_double", reinterpret_cast<void *>(&nl_gcr_a0bf548977306172_25_double_double)},
// boxingb
- {"nl_gcr_a1132c8737d5d463_96_double_double", reinterpret_cast<void *>(&nl_gcr_a1132c8737d5d463_96_double_double)}, // NOLINT
+ {"nl_gcr_a1132c8737d5d463_96_double_double", reinterpret_cast<void *>(&nl_gcr_a1132c8737d5d463_96_double_double)},
// astrob
- {"nl_gcr_a41a44bd5c424f88_13_double_double", reinterpret_cast<void *>(&nl_gcr_a41a44bd5c424f88_13_double_double)}, // NOLINT
+ {"nl_gcr_a41a44bd5c424f88_13_double_double", reinterpret_cast<void *>(&nl_gcr_a41a44bd5c424f88_13_double_double)},
// tp1985
- {"nl_gcr_a4540ffea06b4346_26_double_double", reinterpret_cast<void *>(&nl_gcr_a4540ffea06b4346_26_double_double)}, // NOLINT
+ {"nl_gcr_a4540ffea06b4346_26_double_double", reinterpret_cast<void *>(&nl_gcr_a4540ffea06b4346_26_double_double)},
// tailg
- {"nl_gcr_a46301cd3479b8db_15_double_double", reinterpret_cast<void *>(&nl_gcr_a46301cd3479b8db_15_double_double)}, // NOLINT
+ {"nl_gcr_a46301cd3479b8db_15_double_double", reinterpret_cast<void *>(&nl_gcr_a46301cd3479b8db_15_double_double)},
// barrier
- {"nl_gcr_a50a4b733e95414a_10_double_double", reinterpret_cast<void *>(&nl_gcr_a50a4b733e95414a_10_double_double)}, // NOLINT
+ {"nl_gcr_a50a4b733e95414a_10_double_double", reinterpret_cast<void *>(&nl_gcr_a50a4b733e95414a_10_double_double)},
// starcas
- {"nl_gcr_a582a424cb61c678_62_double_double", reinterpret_cast<void *>(&nl_gcr_a582a424cb61c678_62_double_double)}, // NOLINT
+ {"nl_gcr_a582a424cb61c678_62_double_double", reinterpret_cast<void *>(&nl_gcr_a582a424cb61c678_62_double_double)},
// tank
- {"nl_gcr_a63d1344e34bef4b_36_double_double", reinterpret_cast<void *>(&nl_gcr_a63d1344e34bef4b_36_double_double)}, // NOLINT
+ {"nl_gcr_a63d1344e34bef4b_36_double_double", reinterpret_cast<void *>(&nl_gcr_a63d1344e34bef4b_36_double_double)},
// boxingb
- {"nl_gcr_a6b734322b3ea924_22_double_double", reinterpret_cast<void *>(&nl_gcr_a6b734322b3ea924_22_double_double)}, // NOLINT
+ {"nl_gcr_a6b734322b3ea924_22_double_double", reinterpret_cast<void *>(&nl_gcr_a6b734322b3ea924_22_double_double)},
// armora
- {"nl_gcr_a6cfda6668b153c2_22_double_double", reinterpret_cast<void *>(&nl_gcr_a6cfda6668b153c2_22_double_double)}, // NOLINT
+ {"nl_gcr_a6cfda6668b153c2_22_double_double", reinterpret_cast<void *>(&nl_gcr_a6cfda6668b153c2_22_double_double)},
// boxingb
- {"nl_gcr_a6f74be7f61e6db2_29_double_double", reinterpret_cast<void *>(&nl_gcr_a6f74be7f61e6db2_29_double_double)}, // NOLINT
+ {"nl_gcr_a6f74be7f61e6db2_29_double_double", reinterpret_cast<void *>(&nl_gcr_a6f74be7f61e6db2_29_double_double)},
// carpolo
- {"nl_gcr_a8f1d076330f06b7_34_double_double", reinterpret_cast<void *>(&nl_gcr_a8f1d076330f06b7_34_double_double)}, // NOLINT
+ {"nl_gcr_a8f1d076330f06b7_34_double_double", reinterpret_cast<void *>(&nl_gcr_a8f1d076330f06b7_34_double_double)},
// breakout
- {"nl_gcr_a971eeb2ef76f75f_13_double_double", reinterpret_cast<void *>(&nl_gcr_a971eeb2ef76f75f_13_double_double)}, // NOLINT
+ {"nl_gcr_a971eeb2ef76f75f_13_double_double", reinterpret_cast<void *>(&nl_gcr_a971eeb2ef76f75f_13_double_double)},
// ripoff
- {"nl_gcr_aa07266ef5d420d1_11_double_double", reinterpret_cast<void *>(&nl_gcr_aa07266ef5d420d1_11_double_double)}, // NOLINT
+ {"nl_gcr_aa07266ef5d420d1_11_double_double", reinterpret_cast<void *>(&nl_gcr_aa07266ef5d420d1_11_double_double)},
+// brdrline
+ {"nl_gcr_aa68b74ffdea9bd8_47_double_double", reinterpret_cast<void *>(&nl_gcr_aa68b74ffdea9bd8_47_double_double)},
// 280zzzap
- {"nl_gcr_ab9144d965a37e4_113_double_double", reinterpret_cast<void *>(&nl_gcr_ab9144d965a37e4_113_double_double)}, // NOLINT
+ {"nl_gcr_ab9144d965a37e4_113_double_double", reinterpret_cast<void *>(&nl_gcr_ab9144d965a37e4_113_double_double)},
// sspeedr
- {"nl_gcr_ac1e401ddf971e15_10_double_double", reinterpret_cast<void *>(&nl_gcr_ac1e401ddf971e15_10_double_double)}, // NOLINT
+ {"nl_gcr_ac1e401ddf971e15_10_double_double", reinterpret_cast<void *>(&nl_gcr_ac1e401ddf971e15_10_double_double)},
// starfire
- {"nl_gcr_aceb6035dfb557c9_12_double_double", reinterpret_cast<void *>(&nl_gcr_aceb6035dfb557c9_12_double_double)}, // NOLINT
+ {"nl_gcr_aceb6035dfb557c9_12_double_double", reinterpret_cast<void *>(&nl_gcr_aceb6035dfb557c9_12_double_double)},
// sundance
- {"nl_gcr_ad6dba01ff2425c3_12_double_double", reinterpret_cast<void *>(&nl_gcr_ad6dba01ff2425c3_12_double_double)}, // NOLINT
+ {"nl_gcr_ad6dba01ff2425c3_12_double_double", reinterpret_cast<void *>(&nl_gcr_ad6dba01ff2425c3_12_double_double)},
// rebound
- {"nl_gcr_ae15f7f8a55fc96_7_double_double", reinterpret_cast<void *>(&nl_gcr_ae15f7f8a55fc96_7_double_double)}, // NOLINT
+ {"nl_gcr_ae15f7f8a55fc96_7_double_double", reinterpret_cast<void *>(&nl_gcr_ae15f7f8a55fc96_7_double_double)},
// armora
- {"nl_gcr_afcde432efdafb81_56_double_double", reinterpret_cast<void *>(&nl_gcr_afcde432efdafb81_56_double_double)}, // NOLINT
+ {"nl_gcr_afcde432efdafb81_56_double_double", reinterpret_cast<void *>(&nl_gcr_afcde432efdafb81_56_double_double)},
// mario
- {"nl_gcr_afce66fb47d3c5f3_62_double_double", reinterpret_cast<void *>(&nl_gcr_afce66fb47d3c5f3_62_double_double)}, // NOLINT
+ {"nl_gcr_afce66fb47d3c5f3_62_double_double", reinterpret_cast<void *>(&nl_gcr_afce66fb47d3c5f3_62_double_double)},
// spacewar
- {"nl_gcr_b09deef9a25aecaf_24_double_double", reinterpret_cast<void *>(&nl_gcr_b09deef9a25aecaf_24_double_double)}, // NOLINT
+ {"nl_gcr_b09deef9a25aecaf_24_double_double", reinterpret_cast<void *>(&nl_gcr_b09deef9a25aecaf_24_double_double)},
// spacfury
- {"nl_gcr_b1db23287df1da54_175_double_double", reinterpret_cast<void *>(&nl_gcr_b1db23287df1da54_175_double_double)}, // NOLINT
+ {"nl_gcr_b1db23287df1da54_175_double_double", reinterpret_cast<void *>(&nl_gcr_b1db23287df1da54_175_double_double)},
// segaspeech
- {"nl_gcr_b22769fbf3159a8d_21_double_double", reinterpret_cast<void *>(&nl_gcr_b22769fbf3159a8d_21_double_double)}, // NOLINT
+ {"nl_gcr_b22769fbf3159a8d_21_double_double", reinterpret_cast<void *>(&nl_gcr_b22769fbf3159a8d_21_double_double)},
+// brdrline
+ {"nl_gcr_b66df357763b1dce_97_double_double", reinterpret_cast<void *>(&nl_gcr_b66df357763b1dce_97_double_double)},
// breakout
- {"nl_gcr_b66ff415b228d5f8_10_double_double", reinterpret_cast<void *>(&nl_gcr_b66ff415b228d5f8_10_double_double)}, // NOLINT
+ {"nl_gcr_b66ff415b228d5f8_10_double_double", reinterpret_cast<void *>(&nl_gcr_b66ff415b228d5f8_10_double_double)},
// starcas
- {"nl_gcr_b7344e05aac90017_65_double_double", reinterpret_cast<void *>(&nl_gcr_b7344e05aac90017_65_double_double)}, // NOLINT
+ {"nl_gcr_b7344e05aac90017_65_double_double", reinterpret_cast<void *>(&nl_gcr_b7344e05aac90017_65_double_double)},
// starfire
- {"nl_gcr_b75e0baeb501e907_23_double_double", reinterpret_cast<void *>(&nl_gcr_b75e0baeb501e907_23_double_double)}, // NOLINT
+ {"nl_gcr_b75e0baeb501e907_23_double_double", reinterpret_cast<void *>(&nl_gcr_b75e0baeb501e907_23_double_double)},
// spacfury
- {"nl_gcr_b7b209d222c0a9a6_91_double_double", reinterpret_cast<void *>(&nl_gcr_b7b209d222c0a9a6_91_double_double)}, // NOLINT
+ {"nl_gcr_b7b209d222c0a9a6_91_double_double", reinterpret_cast<void *>(&nl_gcr_b7b209d222c0a9a6_91_double_double)},
// boxingb
- {"nl_gcr_b8d6d148a50bdb8f_55_double_double", reinterpret_cast<void *>(&nl_gcr_b8d6d148a50bdb8f_55_double_double)}, // NOLINT
+ {"nl_gcr_b8d6d148a50bdb8f_55_double_double", reinterpret_cast<void *>(&nl_gcr_b8d6d148a50bdb8f_55_double_double)},
// 280zzzap
- {"nl_gcr_bb501e6a23177009_57_double_double", reinterpret_cast<void *>(&nl_gcr_bb501e6a23177009_57_double_double)}, // NOLINT
+ {"nl_gcr_bb501e6a23177009_57_double_double", reinterpret_cast<void *>(&nl_gcr_bb501e6a23177009_57_double_double)},
// elim
- {"nl_gcr_bb56fa5325163fc3_15_double_double", reinterpret_cast<void *>(&nl_gcr_bb56fa5325163fc3_15_double_double)}, // NOLINT
+ {"nl_gcr_bb56fa5325163fc3_15_double_double", reinterpret_cast<void *>(&nl_gcr_bb56fa5325163fc3_15_double_double)},
// starfire
- {"nl_gcr_bd1514d7defd4062_9_double_double", reinterpret_cast<void *>(&nl_gcr_bd1514d7defd4062_9_double_double)}, // NOLINT
+ {"nl_gcr_bd1514d7defd4062_9_double_double", reinterpret_cast<void *>(&nl_gcr_bd1514d7defd4062_9_double_double)},
// ripoff
- {"nl_gcr_be7c805100c522fd_59_double_double", reinterpret_cast<void *>(&nl_gcr_be7c805100c522fd_59_double_double)}, // NOLINT
+ {"nl_gcr_be7c805100c522fd_59_double_double", reinterpret_cast<void *>(&nl_gcr_be7c805100c522fd_59_double_double)},
// elim
- {"nl_gcr_be831e5faa508573_150_double_double", reinterpret_cast<void *>(&nl_gcr_be831e5faa508573_150_double_double)}, // NOLINT
+ {"nl_gcr_be831e5faa508573_150_double_double", reinterpret_cast<void *>(&nl_gcr_be831e5faa508573_150_double_double)},
// warrior
- {"nl_gcr_bff07f8d339f7cc4_89_double_double", reinterpret_cast<void *>(&nl_gcr_bff07f8d339f7cc4_89_double_double)}, // NOLINT
+ {"nl_gcr_bff07f8d339f7cc4_89_double_double", reinterpret_cast<void *>(&nl_gcr_bff07f8d339f7cc4_89_double_double)},
+// brdrline
+ {"nl_gcr_c05df522276e65fd_134_double_double", reinterpret_cast<void *>(&nl_gcr_c05df522276e65fd_134_double_double)},
// fireone
- {"nl_gcr_c1d22fe6e895255d_79_double_double", reinterpret_cast<void *>(&nl_gcr_c1d22fe6e895255d_79_double_double)}, // NOLINT
+ {"nl_gcr_c1d22fe6e895255d_79_double_double", reinterpret_cast<void *>(&nl_gcr_c1d22fe6e895255d_79_double_double)},
// starcrus
- {"nl_gcr_c2e616f3de30f15b_31_double_double", reinterpret_cast<void *>(&nl_gcr_c2e616f3de30f15b_31_double_double)}, // NOLINT
+ {"nl_gcr_c2e616f3de30f15b_31_double_double", reinterpret_cast<void *>(&nl_gcr_c2e616f3de30f15b_31_double_double)},
// tailg
- {"nl_gcr_c4cec7aed23b7b94_23_double_double", reinterpret_cast<void *>(&nl_gcr_c4cec7aed23b7b94_23_double_double)}, // NOLINT
+ {"nl_gcr_c4cec7aed23b7b94_23_double_double", reinterpret_cast<void *>(&nl_gcr_c4cec7aed23b7b94_23_double_double)},
// segausb
- {"nl_gcr_c61e08cf5e35918_84_double_double", reinterpret_cast<void *>(&nl_gcr_c61e08cf5e35918_84_double_double)}, // NOLINT
+ {"nl_gcr_c61e08cf5e35918_84_double_double", reinterpret_cast<void *>(&nl_gcr_c61e08cf5e35918_84_double_double)},
// popeye
- {"nl_gcr_c6f25bb06e161d1c_50_double_double", reinterpret_cast<void *>(&nl_gcr_c6f25bb06e161d1c_50_double_double)}, // NOLINT
+ {"nl_gcr_c6f25bb06e161d1c_50_double_double", reinterpret_cast<void *>(&nl_gcr_c6f25bb06e161d1c_50_double_double)},
// flyball
- {"nl_gcr_c74b1a65978d7121_7_double_double", reinterpret_cast<void *>(&nl_gcr_c74b1a65978d7121_7_double_double)}, // NOLINT
+ {"nl_gcr_c74b1a65978d7121_7_double_double", reinterpret_cast<void *>(&nl_gcr_c74b1a65978d7121_7_double_double)},
// stuntcyc
- {"nl_gcr_c924fe5960b1479e_20_double_double", reinterpret_cast<void *>(&nl_gcr_c924fe5960b1479e_20_double_double)}, // NOLINT
+ {"nl_gcr_c924fe5960b1479e_20_double_double", reinterpret_cast<void *>(&nl_gcr_c924fe5960b1479e_20_double_double)},
// dpatrol
- {"nl_gcr_ca68d70bd8f2f62e_22_double_double", reinterpret_cast<void *>(&nl_gcr_ca68d70bd8f2f62e_22_double_double)}, // NOLINT
+ {"nl_gcr_ca68d70bd8f2f62e_22_double_double", reinterpret_cast<void *>(&nl_gcr_ca68d70bd8f2f62e_22_double_double)},
// breakout
- {"nl_gcr_cb2aae3366e0ac1c_7_double_double", reinterpret_cast<void *>(&nl_gcr_cb2aae3366e0ac1c_7_double_double)}, // NOLINT
+ {"nl_gcr_cb2aae3366e0ac1c_7_double_double", reinterpret_cast<void *>(&nl_gcr_cb2aae3366e0ac1c_7_double_double)},
// barrier
- {"nl_gcr_cc913f9c3f9293e7_19_double_double", reinterpret_cast<void *>(&nl_gcr_cc913f9c3f9293e7_19_double_double)}, // NOLINT
+ {"nl_gcr_cc913f9c3f9293e7_19_double_double", reinterpret_cast<void *>(&nl_gcr_cc913f9c3f9293e7_19_double_double)},
// fireone
- {"nl_gcr_cca3d1a4219e2ec0_153_double_double", reinterpret_cast<void *>(&nl_gcr_cca3d1a4219e2ec0_153_double_double)}, // NOLINT
+ {"nl_gcr_cca3d1a4219e2ec0_153_double_double", reinterpret_cast<void *>(&nl_gcr_cca3d1a4219e2ec0_153_double_double)},
// 1942
- {"nl_gcr_ce766957cb26ff3e_90_double_double", reinterpret_cast<void *>(&nl_gcr_ce766957cb26ff3e_90_double_double)}, // NOLINT
+ {"nl_gcr_ce766957cb26ff3e_90_double_double", reinterpret_cast<void *>(&nl_gcr_ce766957cb26ff3e_90_double_double)},
// astrob
- {"nl_gcr_cf1018e7ed626623_43_double_double", reinterpret_cast<void *>(&nl_gcr_cf1018e7ed626623_43_double_double)}, // NOLINT
+ {"nl_gcr_cf1018e7ed626623_43_double_double", reinterpret_cast<void *>(&nl_gcr_cf1018e7ed626623_43_double_double)},
// zac1b11142
- {"nl_gcr_cfd3bbf5fbba4765_71_double_double", reinterpret_cast<void *>(&nl_gcr_cfd3bbf5fbba4765_71_double_double)}, // NOLINT
+ {"nl_gcr_cfd3bbf5fbba4765_71_double_double", reinterpret_cast<void *>(&nl_gcr_cfd3bbf5fbba4765_71_double_double)},
// gunfight
- {"nl_gcr_d05b3dbe370c7904_14_double_double", reinterpret_cast<void *>(&nl_gcr_d05b3dbe370c7904_14_double_double)}, // NOLINT
+ {"nl_gcr_d05b3dbe370c7904_14_double_double", reinterpret_cast<void *>(&nl_gcr_d05b3dbe370c7904_14_double_double)},
// barrier
- {"nl_gcr_d06bd7ddbfd17b5e_15_double_double", reinterpret_cast<void *>(&nl_gcr_d06bd7ddbfd17b5e_15_double_double)}, // NOLINT
+ {"nl_gcr_d06bd7ddbfd17b5e_15_double_double", reinterpret_cast<void *>(&nl_gcr_d06bd7ddbfd17b5e_15_double_double)},
// elim
- {"nl_gcr_d13f9c6838af6aeb_13_double_double", reinterpret_cast<void *>(&nl_gcr_d13f9c6838af6aeb_13_double_double)}, // NOLINT
+ {"nl_gcr_d13f9c6838af6aeb_13_double_double", reinterpret_cast<void *>(&nl_gcr_d13f9c6838af6aeb_13_double_double)},
// elim
- {"nl_gcr_d190a0e3b8e1f4a7_7_double_double", reinterpret_cast<void *>(&nl_gcr_d190a0e3b8e1f4a7_7_double_double)}, // NOLINT
+ {"nl_gcr_d190a0e3b8e1f4a7_7_double_double", reinterpret_cast<void *>(&nl_gcr_d190a0e3b8e1f4a7_7_double_double)},
// elim
- {"nl_gcr_d224211d1af6811d_12_double_double", reinterpret_cast<void *>(&nl_gcr_d224211d1af6811d_12_double_double)}, // NOLINT
+ {"nl_gcr_d224211d1af6811d_12_double_double", reinterpret_cast<void *>(&nl_gcr_d224211d1af6811d_12_double_double)},
// armora
- {"nl_gcr_d27a39bc93616187_45_double_double", reinterpret_cast<void *>(&nl_gcr_d27a39bc93616187_45_double_double)}, // NOLINT
+ {"nl_gcr_d27a39bc93616187_45_double_double", reinterpret_cast<void *>(&nl_gcr_d27a39bc93616187_45_double_double)},
// elim
- {"nl_gcr_d2ea3f267b959e8b_20_double_double", reinterpret_cast<void *>(&nl_gcr_d2ea3f267b959e8b_20_double_double)}, // NOLINT
+ {"nl_gcr_d2ea3f267b959e8b_20_double_double", reinterpret_cast<void *>(&nl_gcr_d2ea3f267b959e8b_20_double_double)},
// starfire
- {"nl_gcr_d32effa2b0ea54a1_438_double_double", reinterpret_cast<void *>(&nl_gcr_d32effa2b0ea54a1_438_double_double)}, // NOLINT
+ {"nl_gcr_d32effa2b0ea54a1_438_double_double", reinterpret_cast<void *>(&nl_gcr_d32effa2b0ea54a1_438_double_double)},
// spacfury
- {"nl_gcr_d4c34516ff6aa139_46_double_double", reinterpret_cast<void *>(&nl_gcr_d4c34516ff6aa139_46_double_double)}, // NOLINT
+ {"nl_gcr_d4c34516ff6aa139_46_double_double", reinterpret_cast<void *>(&nl_gcr_d4c34516ff6aa139_46_double_double)},
+// brdrline
+ {"nl_gcr_d5e1c37cfa2d2853_30_double_double", reinterpret_cast<void *>(&nl_gcr_d5e1c37cfa2d2853_30_double_double)},
+// brdrline
+ {"nl_gcr_d74d89ba31f2cb81_126_double_double", reinterpret_cast<void *>(&nl_gcr_d74d89ba31f2cb81_126_double_double)},
// boxingb
- {"nl_gcr_d7d45dc58b08cab9_10_double_double", reinterpret_cast<void *>(&nl_gcr_d7d45dc58b08cab9_10_double_double)}, // NOLINT
+ {"nl_gcr_d7d45dc58b08cab9_10_double_double", reinterpret_cast<void *>(&nl_gcr_d7d45dc58b08cab9_10_double_double)},
// kidniki
- {"nl_gcr_d8c511d38cef5f6f_34_double_double", reinterpret_cast<void *>(&nl_gcr_d8c511d38cef5f6f_34_double_double)}, // NOLINT
+ {"nl_gcr_d8c511d38cef5f6f_34_double_double", reinterpret_cast<void *>(&nl_gcr_d8c511d38cef5f6f_34_double_double)},
// warrior
- {"nl_gcr_da598f43329e823_27_double_double", reinterpret_cast<void *>(&nl_gcr_da598f43329e823_27_double_double)}, // NOLINT
+ {"nl_gcr_da598f43329e823_27_double_double", reinterpret_cast<void *>(&nl_gcr_da598f43329e823_27_double_double)},
// pongf
- {"nl_gcr_dbafc5ddaf7a08f8_35_double_double", reinterpret_cast<void *>(&nl_gcr_dbafc5ddaf7a08f8_35_double_double)}, // NOLINT
+ {"nl_gcr_dbafc5ddaf7a08f8_35_double_double", reinterpret_cast<void *>(&nl_gcr_dbafc5ddaf7a08f8_35_double_double)},
// fireone
- {"nl_gcr_dcbecbc127c5868f_36_double_double", reinterpret_cast<void *>(&nl_gcr_dcbecbc127c5868f_36_double_double)}, // NOLINT
+ {"nl_gcr_dcbecbc127c5868f_36_double_double", reinterpret_cast<void *>(&nl_gcr_dcbecbc127c5868f_36_double_double)},
// sundance
- {"nl_gcr_e02a162cb515a958_100_double_double", reinterpret_cast<void *>(&nl_gcr_e02a162cb515a958_100_double_double)}, // NOLINT
+ {"nl_gcr_e02a162cb515a958_100_double_double", reinterpret_cast<void *>(&nl_gcr_e02a162cb515a958_100_double_double)},
+// brdrline
+ {"nl_gcr_e03f0bc5ac056326_20_double_double", reinterpret_cast<void *>(&nl_gcr_e03f0bc5ac056326_20_double_double)},
// speedfrk
- {"nl_gcr_e07b5b086812756c_7_double_double", reinterpret_cast<void *>(&nl_gcr_e07b5b086812756c_7_double_double)}, // NOLINT
+ {"nl_gcr_e07b5b086812756c_7_double_double", reinterpret_cast<void *>(&nl_gcr_e07b5b086812756c_7_double_double)},
// solarq
- {"nl_gcr_e081f90c2e0313f6_45_double_double", reinterpret_cast<void *>(&nl_gcr_e081f90c2e0313f6_45_double_double)}, // NOLINT
+ {"nl_gcr_e081f90c2e0313f6_45_double_double", reinterpret_cast<void *>(&nl_gcr_e081f90c2e0313f6_45_double_double)},
// spacewar
- {"nl_gcr_e0b492db40bba291_20_double_double", reinterpret_cast<void *>(&nl_gcr_e0b492db40bba291_20_double_double)}, // NOLINT
+ {"nl_gcr_e0b492db40bba291_20_double_double", reinterpret_cast<void *>(&nl_gcr_e0b492db40bba291_20_double_double)},
// flyball
- {"nl_gcr_e15d9316d59cdad9_7_double_double", reinterpret_cast<void *>(&nl_gcr_e15d9316d59cdad9_7_double_double)}, // NOLINT
+ {"nl_gcr_e15d9316d59cdad9_7_double_double", reinterpret_cast<void *>(&nl_gcr_e15d9316d59cdad9_7_double_double)},
// speedfrk
- {"nl_gcr_e4f2ffbf201a3d0c_37_double_double", reinterpret_cast<void *>(&nl_gcr_e4f2ffbf201a3d0c_37_double_double)}, // NOLINT
+ {"nl_gcr_e4f2ffbf201a3d0c_37_double_double", reinterpret_cast<void *>(&nl_gcr_e4f2ffbf201a3d0c_37_double_double)},
// pongf
- {"nl_gcr_e51b463cd890ef6d_7_double_double", reinterpret_cast<void *>(&nl_gcr_e51b463cd890ef6d_7_double_double)}, // NOLINT
+ {"nl_gcr_e51b463cd890ef6d_7_double_double", reinterpret_cast<void *>(&nl_gcr_e51b463cd890ef6d_7_double_double)},
// sundance
- {"nl_gcr_e5b7711fac1ea80c_8_double_double", reinterpret_cast<void *>(&nl_gcr_e5b7711fac1ea80c_8_double_double)}, // NOLINT
+ {"nl_gcr_e5b7711fac1ea80c_8_double_double", reinterpret_cast<void *>(&nl_gcr_e5b7711fac1ea80c_8_double_double)},
// ripoff
- {"nl_gcr_e60314070a75e121_20_double_double", reinterpret_cast<void *>(&nl_gcr_e60314070a75e121_20_double_double)}, // NOLINT
+ {"nl_gcr_e60314070a75e121_20_double_double", reinterpret_cast<void *>(&nl_gcr_e60314070a75e121_20_double_double)},
// cheekyms
- {"nl_gcr_e75302e17c866419_150_double_double", reinterpret_cast<void *>(&nl_gcr_e75302e17c866419_150_double_double)}, // NOLINT
+ {"nl_gcr_e75302e17c866419_150_double_double", reinterpret_cast<void *>(&nl_gcr_e75302e17c866419_150_double_double)},
// elim
- {"nl_gcr_e76692c10e79997e_36_double_double", reinterpret_cast<void *>(&nl_gcr_e76692c10e79997e_36_double_double)}, // NOLINT
+ {"nl_gcr_e76692c10e79997e_36_double_double", reinterpret_cast<void *>(&nl_gcr_e76692c10e79997e_36_double_double)},
// fireone
- {"nl_gcr_e7fb484f621b3ab9_7_double_double", reinterpret_cast<void *>(&nl_gcr_e7fb484f621b3ab9_7_double_double)}, // NOLINT
+ {"nl_gcr_e7fb484f621b3ab9_7_double_double", reinterpret_cast<void *>(&nl_gcr_e7fb484f621b3ab9_7_double_double)},
// elim
- {"nl_gcr_e8aeb165b69427ec_18_double_double", reinterpret_cast<void *>(&nl_gcr_e8aeb165b69427ec_18_double_double)}, // NOLINT
+ {"nl_gcr_e8aeb165b69427ec_18_double_double", reinterpret_cast<void *>(&nl_gcr_e8aeb165b69427ec_18_double_double)},
// spacewar
- {"nl_gcr_e9e8211f43d8f4b3_22_double_double", reinterpret_cast<void *>(&nl_gcr_e9e8211f43d8f4b3_22_double_double)}, // NOLINT
+ {"nl_gcr_e9e8211f43d8f4b3_22_double_double", reinterpret_cast<void *>(&nl_gcr_e9e8211f43d8f4b3_22_double_double)},
// boxingb
- {"nl_gcr_ea2b6e3a05e6ef0b_23_double_double", reinterpret_cast<void *>(&nl_gcr_ea2b6e3a05e6ef0b_23_double_double)}, // NOLINT
+ {"nl_gcr_ea2b6e3a05e6ef0b_23_double_double", reinterpret_cast<void *>(&nl_gcr_ea2b6e3a05e6ef0b_23_double_double)},
// starcas
- {"nl_gcr_ec4f6d2dad5961b9_64_double_double", reinterpret_cast<void *>(&nl_gcr_ec4f6d2dad5961b9_64_double_double)}, // NOLINT
+ {"nl_gcr_ec4f6d2dad5961b9_64_double_double", reinterpret_cast<void *>(&nl_gcr_ec4f6d2dad5961b9_64_double_double)},
// barrier
- {"nl_gcr_ecf17036ce1c07cf_10_double_double", reinterpret_cast<void *>(&nl_gcr_ecf17036ce1c07cf_10_double_double)}, // NOLINT
+ {"nl_gcr_ecf17036ce1c07cf_10_double_double", reinterpret_cast<void *>(&nl_gcr_ecf17036ce1c07cf_10_double_double)},
// armora
- {"nl_gcr_ee2cacaa15d32491_67_double_double", reinterpret_cast<void *>(&nl_gcr_ee2cacaa15d32491_67_double_double)}, // NOLINT
+ {"nl_gcr_ee2cacaa15d32491_67_double_double", reinterpret_cast<void *>(&nl_gcr_ee2cacaa15d32491_67_double_double)},
// astrob
- {"nl_gcr_ee61dcaa355fc625_285_double_double", reinterpret_cast<void *>(&nl_gcr_ee61dcaa355fc625_285_double_double)}, // NOLINT
+ {"nl_gcr_ee61dcaa355fc625_285_double_double", reinterpret_cast<void *>(&nl_gcr_ee61dcaa355fc625_285_double_double)},
// starcrus
- {"nl_gcr_ef2f49641f433a74_94_double_double", reinterpret_cast<void *>(&nl_gcr_ef2f49641f433a74_94_double_double)}, // NOLINT
+ {"nl_gcr_ef2f49641f433a74_94_double_double", reinterpret_cast<void *>(&nl_gcr_ef2f49641f433a74_94_double_double)},
// spacfury
- {"nl_gcr_f365c3863b050e35_45_double_double", reinterpret_cast<void *>(&nl_gcr_f365c3863b050e35_45_double_double)}, // NOLINT
+ {"nl_gcr_f365c3863b050e35_45_double_double", reinterpret_cast<void *>(&nl_gcr_f365c3863b050e35_45_double_double)},
// spacfury
- {"nl_gcr_f3c9a6d53371d709_227_double_double", reinterpret_cast<void *>(&nl_gcr_f3c9a6d53371d709_227_double_double)}, // NOLINT
+ {"nl_gcr_f3c9a6d53371d709_227_double_double", reinterpret_cast<void *>(&nl_gcr_f3c9a6d53371d709_227_double_double)},
// barrier
- {"nl_gcr_f425d4008ae1d2c6_13_double_double", reinterpret_cast<void *>(&nl_gcr_f425d4008ae1d2c6_13_double_double)}, // NOLINT
+ {"nl_gcr_f425d4008ae1d2c6_13_double_double", reinterpret_cast<void *>(&nl_gcr_f425d4008ae1d2c6_13_double_double)},
// boxingb
- {"nl_gcr_f43cf2a28a5a5561_23_double_double", reinterpret_cast<void *>(&nl_gcr_f43cf2a28a5a5561_23_double_double)}, // NOLINT
+ {"nl_gcr_f43cf2a28a5a5561_23_double_double", reinterpret_cast<void *>(&nl_gcr_f43cf2a28a5a5561_23_double_double)},
// spacfury
- {"nl_gcr_f4da1503eabe16cf_16_double_double", reinterpret_cast<void *>(&nl_gcr_f4da1503eabe16cf_16_double_double)}, // NOLINT
+ {"nl_gcr_f4da1503eabe16cf_16_double_double", reinterpret_cast<void *>(&nl_gcr_f4da1503eabe16cf_16_double_double)},
// elim
- {"nl_gcr_f4f4e2ee05cb584e_13_double_double", reinterpret_cast<void *>(&nl_gcr_f4f4e2ee05cb584e_13_double_double)}, // NOLINT
+ {"nl_gcr_f4f4e2ee05cb584e_13_double_double", reinterpret_cast<void *>(&nl_gcr_f4f4e2ee05cb584e_13_double_double)},
// konami2x
- {"nl_gcr_f6900d3f36a91049_85_double_double", reinterpret_cast<void *>(&nl_gcr_f6900d3f36a91049_85_double_double)}, // NOLINT
+ {"nl_gcr_f6900d3f36a91049_85_double_double", reinterpret_cast<void *>(&nl_gcr_f6900d3f36a91049_85_double_double)},
// breakout
- {"nl_gcr_f7dc4f87b5a8ba93_7_double_double", reinterpret_cast<void *>(&nl_gcr_f7dc4f87b5a8ba93_7_double_double)}, // NOLINT
+ {"nl_gcr_f7dc4f87b5a8ba93_7_double_double", reinterpret_cast<void *>(&nl_gcr_f7dc4f87b5a8ba93_7_double_double)},
// fireone
- {"nl_gcr_f8f6a951fd1af6bc_7_double_double", reinterpret_cast<void *>(&nl_gcr_f8f6a951fd1af6bc_7_double_double)}, // NOLINT
+ {"nl_gcr_f8f6a951fd1af6bc_7_double_double", reinterpret_cast<void *>(&nl_gcr_f8f6a951fd1af6bc_7_double_double)},
+// brdrline
+ {"nl_gcr_f99b1245e708ec85_83_double_double", reinterpret_cast<void *>(&nl_gcr_f99b1245e708ec85_83_double_double)},
// zektor
- {"nl_gcr_fbff020f5f5d5a5_144_double_double", reinterpret_cast<void *>(&nl_gcr_fbff020f5f5d5a5_144_double_double)}, // NOLINT
+ {"nl_gcr_fbff020f5f5d5a5_144_double_double", reinterpret_cast<void *>(&nl_gcr_fbff020f5f5d5a5_144_double_double)},
// kidniki
- {"nl_gcr_fc02559fdbfb0e10_67_double_double", reinterpret_cast<void *>(&nl_gcr_fc02559fdbfb0e10_67_double_double)}, // NOLINT
+ {"nl_gcr_fc02559fdbfb0e10_67_double_double", reinterpret_cast<void *>(&nl_gcr_fc02559fdbfb0e10_67_double_double)},
// 280zzzap
- {"nl_gcr_fc9971724787b82b_149_double_double", reinterpret_cast<void *>(&nl_gcr_fc9971724787b82b_149_double_double)}, // NOLINT
+ {"nl_gcr_fc9971724787b82b_149_double_double", reinterpret_cast<void *>(&nl_gcr_fc9971724787b82b_149_double_double)},
// elim
- {"nl_gcr_fcce97532ad2f49d_18_double_double", reinterpret_cast<void *>(&nl_gcr_fcce97532ad2f49d_18_double_double)}, // NOLINT
+ {"nl_gcr_fcce97532ad2f49d_18_double_double", reinterpret_cast<void *>(&nl_gcr_fcce97532ad2f49d_18_double_double)},
// fireone
- {"nl_gcr_fd2796828f1ebd00_36_double_double", reinterpret_cast<void *>(&nl_gcr_fd2796828f1ebd00_36_double_double)}, // NOLINT
+ {"nl_gcr_fd2796828f1ebd00_36_double_double", reinterpret_cast<void *>(&nl_gcr_fd2796828f1ebd00_36_double_double)},
// starfire
- {"nl_gcr_feae15b80dd73620_7_double_double", reinterpret_cast<void *>(&nl_gcr_feae15b80dd73620_7_double_double)}, // NOLINT
+ {"nl_gcr_feae15b80dd73620_7_double_double", reinterpret_cast<void *>(&nl_gcr_feae15b80dd73620_7_double_double)},
#endif
{"", nullptr}
diff --git a/src/lib/netlist/nl_setup.cpp b/src/lib/netlist/nl_setup.cpp
index 2247bf111d4..ab6626acde1 100644
--- a/src/lib/netlist/nl_setup.cpp
+++ b/src/lib/netlist/nl_setup.cpp
@@ -165,7 +165,7 @@ namespace netlist
void nlparse_t::register_link(const pstring &sin, const pstring &sout)
{
- register_link_fqn(build_fqn(sin), build_fqn(sout));
+ register_link_fqn(build_fqn(plib::trim(sin)), build_fqn(plib::trim(sout)));
}
void nlparse_t::register_link_arr(const pstring &terms)
diff --git a/src/mame/audio/nl_brdrline.cpp b/src/mame/audio/nl_brdrline.cpp
new file mode 100644
index 00000000000..3ca2660683e
--- /dev/null
+++ b/src/mame/audio/nl_brdrline.cpp
@@ -0,0 +1,1805 @@
+// license:CC0
+// copyright-holders: beta-tester (https://github.com/beta-tester)
+
+//NL_CONTAINS brdrline
+
+//
+// Netlist for Borderline (brdrline)
+//
+// Derived from the schematics in the Borderline Quest Owner's Manual.
+//
+// Known problems/issues:
+//
+// * all transistors in schematics of sound genertors were drawn
+// in a wrong orientation.
+// base and collectors were swaped
+
+
+// ---------------------------------------------------------------------------
+// Borderline
+// Owner's Manual
+// Sega/Gremlin, Manual Part No. 420-0613
+//
+// ...........................................................................
+// Page 26
+// Sound Board Assembly
+// Drawing No. 834-0055, Rev. A, Sheet 6 of 7
+// ...........................................................................
+// GUN_TRG (C8); GUN_SOUND (D6); (C8,D8,C7,D7,C6,D6)
+// JEEP_ON (C8); JEEP_SOUND (C6); (C8,B8,C7,B7,C6,B6)
+// POINT_TRG (A8); POINT_SOUND (B3); (A8,B8,A7,B7,A6,B6,A5,B5,C5,D5,A4,B4,C5,
+// D4,B3)
+// HIT_TRG (A8); HIT_SOUND (A4); (A8,A7,A6,A5,A4,B4,C4,D4,A3,B4,C3,D3)
+//
+// ...........................................................................
+// Page 27
+// Sound Board Assembly
+// Drawing No. 834-0055, Rev. A, Sheet 7 of 7
+// ...........................................................................
+// ANIMAL_TRG (D8); ANIMAL_SOUND (C6); (D8,C8,B8,A8,D7,C7,B7,A8,D6,C6,D5,C5)
+// EMAR_TRG (A8); EMAR_SOUND (C5); (A8,A7,B7,C6,B6,B5,C5,B4,C4,D4,D3)
+// WALK_TRG (A8); WALK_SOUND (B5); (A8,A7,A6,B6,B5)
+// CRY_TRG (A8); CRY_SOUND (C1); (A8,A7,A6,A5,A4,A3,B3,C3,A2,B2,C2,A1,
+// B1,C1)
+// ---------------------------------------------------------------------------
+
+
+// dry run:
+// X=TEST_POINT; ./nltool -q -c run --progress -t 45 -n brdrline -l $X -i ../nl_brdrline.csv ../nl_brdrline.cpp && ./nlwav -f tab -i 0.001 -s 0.0 -n -1 log_$X.log | gnuplot -p -e "reset; plot '-' using 1 with lines"
+// X=TEST_POINT; ./nltool -v -s -c run --progress -t 45 -n brdrline -l $X -i ../nl_brdrline.csv ../nl_brdrline.cpp | tee ../nl_brdrline.txt && ./nlwav -f tab -i 0.001 -s 0.0 -n -1 log_$X.log | gnuplot -p -e "reset; plot '-' using 1 with lines"
+//
+// X=TEST_POINT; ./nlwav -f wav32f -a 0.5 -o ../$X.wav log_$X.log
+//
+
+
+#include "netlist/devices/net_lib.h"
+
+
+/* ----------------------------------------------------------------------------
+ * Library section content START
+ * ---------------------------------------------------------------------------*/
+#if 0
+/*
+ * WARNING: fake implementation for MB4391 based on guesses only
+ * MB4391_DIP
+ * MB4391(A)
+ * +--------------+
+ * .1/*IN1*/ |1 ++ 16| .16/*VCC1*/
+ * .2/*CON1*/ |2 15| .15/*OUT1*/
+ * .3/*GND1*/ |3 14| .14/*RO1*/
+ * |4 MB4391 13|
+ * .5/*IN2*/ |5 12| .12/*VCC2*/
+ * .6/*CON2*/ |6 11| .11/*OUT2*/
+ * .7/*GND2*/ |7 10| .10/*RO2*/
+ * |8 9|
+ * +--------------+
+ *
+ * VCC -----+-----------+-------------- VCC
+ * | |
+ * +-+ |
+ * |R| |
+ * |1| 2|
+ * +-+ +-------+-+
+ * | 0| AFUNC |Q +----+
+ * IN -----+---+ +---|Rout|--- OUT
+ * | | fx | +----+
+ * +-+ +-+--+--+-+
+ * |R| 1| 4| 3|
+ * |2| | | |
+ * +-+ | | |
+ * | | | |
+ * GND -----+-----|--|--+-------------- GND
+ * | |
+ * CON -----------+ |
+ * |
+ * RO --------------+
+ *
+ */
+#endif
+static NETLIST_START(_MB4391)
+ // MB4391 (fake implementation)
+ // 2020 by beta-tester (https://github.com/beta-tester)
+ //
+ // values by guesses
+ // for brdrline schematics only
+ //
+ // Vmix = -(Vcon - 4.759384) / (4.759384 - 2.839579)
+ // Vmix2 = pow(Vmix, 2)
+ // Vout = Vin * Vmix2
+ //
+ // Vcon(max) = 4.759384
+ // Vcon(min) = 2.839584...2.839579 (@3.002360417e+01)(@3.152360417e+01)
+ //AFUNC(fx, 5, "(A1)")
+ //
+ //AFUNC(fx, 5, "min(1, max(0, -(A1 - 4.759384) / (4.759384 - 2.839579)))")
+ //AFUNC(fx, 5, "min(1, max(0, -(A1 - (A2-0.24)) / ((A2-0.24) - (A2/2+0.34))))")
+ //
+ // Vin(offset)=2.5 (VCC/2)
+ //AFUNC(fx, 5, "(A0)")
+ //AFUNC(fx, 5, "(A0-2.5)")
+ //AFUNC(fx, 5, "(A0-(A2/2))")
+ //
+ // vin * mix
+ AFUNC(fx, 5, "(A0-(A2/2)) * (min(1, max(0, -(A1 - 4.759384) / (4.759384 - 2.839579))))")
+ //
+ // vin * pow(mix, 2)
+ //AFUNC(fx, 5, "(A0-(A2/2)) * pow((min(1, max(0, -(A1 - 4.759384) / (4.759384 - 2.839579))), 2))")
+
+ RES(R1, RES_K(10))
+ RES(R2, RES_K(10))
+ NET_C(VCC, R1.1)
+ NET_C(IN, R1.2, R2.1)
+ NET_C(R2.2, GND)
+
+ RES(Rout, RES_K(1))
+ NET_C(fx.Q, Rout.1) // fx(IN, CON, VCC, GND, RO) = OUT
+
+ // INPUT
+ ALIAS(IN, fx.A0) // IN
+ ALIAS(CON, fx.A1) // CON
+ ALIAS(VCC, fx.A2) // VCC
+ ALIAS(GND, fx.A3) // GND
+ ALIAS(RO, fx.A4) // RO
+
+ // OUTPUT
+ ALIAS(OUT, Rout.2) // OUT
+NETLIST_END()
+
+
+static NETLIST_START(_MB4391_DIP)
+ SUBMODEL(_MB4391, A)
+ SUBMODEL(_MB4391, B)
+
+ NC_PIN(NC)
+
+ DIPPINS( /* +--------------+ */
+ A.IN, /* IN1 |1 ++ 16| VCC1 */ A.VCC,
+ A.CON, /* CON1 |2 15| OUT1 */ A.OUT,
+ A.GND, /* GND1 |3 14| RO1 */ A.RO,
+ NC.I, /* |4 MB4391 13| */ NC.I,
+ B.IN, /* IN2 |5 12| VCC2 */ B.VCC,
+ B.CON, /* CON2 |6 11| OUT2 */ B.OUT,
+ B.GND, /* GND2 |7 10| RO2 */ B.RO,
+ NC.I, /* |8 9| */ NC.I
+ /* +--------------+ */
+ )
+NETLIST_END()
+/* ----------------------------------------------------------------------------
+ * Library section content END
+ * ---------------------------------------------------------------------------*/
+
+
+
+
+/*
+ * hack
+ *
+ * terminates unused parts of a DIP
+ * WARNING: eats CPU time
+ *
+ * REQUIREMENT:
+ * - definition of a voltage splitter and a given alias UNUSED_OP_REF as
+ */
+#define UNUSED_TTL_Q(_name) HINT(_name, NC)
+#define UNUSED_TTL_I(_name) NET_C(_name, GND)
+#define UNUSED_OP_OUT_MINUS(_name_out, _name_minus) \
+ NET_C(_name_out, _name_minus)
+#define UNUSED_OP_PLUS(_name_plus) \
+ NET_C(UNUSED_OP_REF, _name_plus)
+
+/*
+ * hack
+ */
+#define NC_(_name) HINT(_name, NC)
+#define GND_(_name) NET_C(_name, GND)
+#define TMP_(_name) NET_C(_name, GND)
+
+/*
+ * hack
+ */
+// diode MA150 (nothing special, only for quick discharging caps)
+#define MA150(_name) \
+ DIODE(_name, "D")
+
+// npn transistor 2SC458
+#define C458(_name) \
+ QBJT_EB(_name, "NPN")
+
+// MB4391 one half of
+//#define MB4391(_name) NET_REGISTER_DEV_X(MB4391, _name)
+#define MB4391(_name) \
+ SUBMODEL(_MB4391, _name)
+
+// MB4391 full dip
+//#define MB4391_DIP(_name) NET_REGISTER_DEV_X(MB4391_DIP, _name)
+#define MB4391_DIP(_name) \
+ SUBMODEL(_MB4391_DIP, _name)
+
+
+
+
+// ---------------------------------------------------------------------------
+// Borderline
+// Owner's Manual
+// Sega/Gremlin, Manual Part No. 420-0613
+//
+// ...........................................................................
+// Page 26
+// Sound Board Assembly
+// Drawing No. 834-0055, Rev. A, Sheet 6 of 7
+// ...........................................................................
+// (C8) GUN_TRG; (D6) GUN_SOUND; (C8,D8,C7,D7,C6,D6)
+// (C8) JEEP_ON; (C6) JEEP_SOUND; (C8,B8,C7,B7,C6,B6)
+// (A8) POINT_TRG; (B3) POINT_SOUND; (A8,B8,A7,B7,A6,B6,A5,B5,C5,D5,A4,B4,C5,
+// D4,B3)
+// (A8) HIT_TRG; (A4) HIT_SOUND; (A8,A7,A6,A5,A4,B4,C4,D4,A3,B4,C3,D3)
+//
+// ...........................................................................
+// Page 27
+// Sound Board Assembly
+// Drawing No. 834-0055, Rev. A, Sheet 7 of 7
+// ...........................................................................
+// (D8) ANIMAL_TRG; (C6) ANIMAL_SOUND; (D8,C8,B8,A8,D7,C7,B7,A8,D6,C6,D5,C5)
+// (A8) EMAR_TRG; (C5) EMAR_SOUND; (A8,A7,B7,C6,B6,B5,C5,B4,C4,D4,D3)
+// (A8) WALK_TRG; (B5) WALK_SOUND; (A8,A7,A6,B6,B5)
+// (A8) CRY_TRG; (C1) CRY_SOUND; (A8,A7,A6,A5,A4,A3,B3,C3,A2,B2,C2,A1,
+// B1,C1)
+// ---------------------------------------------------------------------------
+static NETLIST_START(brdrline_schematics)
+
+ /* ------------------------------------------------------------------------
+ * UNUSED_OP_REF
+ * -----------------------------------------------------------------------*/
+ // UNUSED_OP_REF
+ POT(UNUSED_OP_RES, RES_K(20))
+ PARAM(UNUSED_OP_RES.DIAL, 0.5)
+ NET_C(V12, UNUSED_OP_RES.1)
+ NET_C(GND, UNUSED_OP_RES.3)
+ ALIAS(UNUSED_OP_REF, UNUSED_OP_RES.2)
+
+
+ /* ------------------------------------------------------------------------
+ * RST (RESET for sound)
+ * t(0s) = lo, t(2s) = high, t(infinite) = high
+ * -----------------------------------------------------------------------*/
+ RES(R171, RES_K(47))
+ CAP(C78, CAP_U(47))
+
+ TTL_74123_DIP(IC37) // shared by GUN_SOUND, RST
+ NET_C(GND, IC37.8)
+ NET_C(V5, IC37.16)
+
+ NET_C(GND, IC37.9/*A2*/)
+ NET_C(V5, IC37.10/*B2*/, IC37.11/*CLRQ2*/, R171.1)
+ NET_C(IC37.6/*C2*/, C78.1)
+ NET_C(IC37.7/*RC2*/, C78.2, R171.2)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC37.5/*Q2*/)
+
+ // --------------------------------
+ // OUTPUT
+ ALIAS(RST, IC37.12/*QQ2*/)
+
+
+ /* ------------------------------------------------------------------------
+ * GUN_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(GUN_TRG.Q, R156.2)
+
+ // --------------------------------
+ // TRG -> CON
+ MA150(D1)
+ RES(R156, RES_K(1))
+ RES(R155, RES_K(47))
+ RES(R89, 470)
+ RES(R90, RES_K(470))
+ RES(R91, RES_K(470))
+ CAP(C73, CAP_U(1))
+ CAP(C45, CAP_U(1))
+ NET_C(GND, C45.2)
+ NET_C(V5, IC37.2/*B1*/, IC37.3/*CLRQ1*/
+ , R156.1, R155.1, R91.1)
+ NET_C(R156.2, IC37.1/*A1*/)
+ NET_C(IC37.14/*C1*/, C73.1)
+ NET_C(IC37.15/*RC1*/, C73.2, R155.2)
+ NET_C(IC37.4/*QQ1*/, D1.K)
+ NET_C(D1.A, R89.1)
+ NET_C(R89.2, C45.1, R90.2)
+ NET_C(R91.2, R90.1, IC27.12/*PLUS4*/)
+ NET_C(IC27.14/*OUT4*/, IC27.13/*MINUS4*/, IC20.2/*CON1*/)
+
+ // --------------------------------
+ // NOISE
+ RES(R132, RES_K(220))
+ RES(R133, RES_K(22))
+ RES(R134, RES_K(15))
+ RES(R93, RES_K(15))
+ RES(R92, RES_K(150))
+ RES(R88, RES_K(100))
+ CAP(C62, CAP_U(2.2))
+ CAP(C63, CAP_U(0.01))
+ CAP(C48, CAP_U(0.01))
+
+ MM5837(IC26)
+ NET_C(GND, IC26.VDD/*1*/, IC26.VGG/*2*/)
+ NET_C(V12, IC26.VSS/*4*/) // left out decoupling caps (0.1uF & 22uF parallel to GND)
+
+ LM324_DIP(IC27) // shared by GUN_SOUND, _, GUN_SOUND, GUN_SOUND
+ NET_C(GND, IC27.11/*GND*/)
+ NET_C(V12, IC27.4/*VCC*/)
+
+ NET_C(IC26.OUT/*3*/, C62.1)
+ NET_C(C62.2, R132.1)
+ NET_C(R132.2, IC27.2/*MINUS1*/, R133.1)
+ NET_C(IC27.3/*PLUS1*/, V6)
+ NET_C(IC27.1/*OUT1*/, R133.2, R134.1)
+ NET_C(R134.2, R93.1, C63.1)
+ NET_C(R93.2, C48.1, IC27.10/*PLUS3*/)
+ NET_C(C48.2, GND)
+ NET_C(IC27.8/*OUT3*/, C63.2, R92.1, C46.1)
+ NET_C(IC27.9/*MINUS3*/, R92.2, R88.1)
+ NET_C(R88.2, V6)
+
+ // --------------------------------
+ // CON, MIX -> OUT
+ MB4391_DIP(IC20) // shared by GUN_SOUND, CRY_SOUND
+ NET_C(GND, IC20.3/*GND1*/, IC20.7/*GND2*/)
+ NET_C(V5, IC20.16/*VCC1*/, IC20.12/*VCC2*/)
+ CAP(C46, CAP_U(2.2))
+ CAP(C25, CAP_U(2.2))
+ CAP(C26, CAP_P(680))
+ NET_C(C26.2, GND)
+ NET_C(IC20.14/*RO1*/, C26.1)
+ NET_C(IC20.1/*IN1*/, C46.2)
+ NET_C(IC20.15/*OUT1*/, C25.1)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC37.13/*Q1*/)
+
+ UNUSED_OP_OUT_MINUS(IC27.7/*OUT2*/, IC27.6/*MINUS2*/)
+ UNUSED_OP_PLUS(IC27.5/*PLUS2*/)
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(GUN_SOUND, C25.2)
+
+
+ /* ------------------------------------------------------------------------
+ * JEEP_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(JEEP_ON.Q, R151.2)
+
+ // --------------------------------
+ TTL_7408_DIP(IC29) // shared by _, JEEP_SOUND, _, _
+ NET_C(GND, IC29.7/*GND*/)
+ NET_C(V5, IC29.14/*VCC*/)
+
+ TTL_7416_DIP(IC36) // shared by _, _, JEEP_SOUND, _, _, _
+ NET_C(GND, IC36.7/*GND*/)
+ NET_C(V5, IC36.14/*VCC*/)
+
+ TTL_7474_DIP(IC30)
+ NET_C(GND, IC30.7/*GND*/)
+ NET_C(V5, IC30.14/*VCC*/)
+
+ TTL_7474_DIP(IC32)
+ NET_C(GND, IC32.7/*GND*/)
+ NET_C(V5, IC32.14/*VCC*/)
+
+ NE555(IC31)
+ NET_C(GND, IC31.GND/*1*/)
+ NET_C(V5, IC31.VCC/*8*/)
+
+ RES(R123, RES_K(51))
+ RES(R150, RES_K(1))
+ RES(R151, RES_K(1))
+ RES(R152, RES_K(1))
+ RES(R153, RES_K(33))
+ RES(R154, RES_K(1))
+ RES(R157, RES_K(51))
+ RES(R158, RES_K(51))
+ CAP(C58, CAP_U(0.047))
+ CAP(C59, CAP_U(2.2))
+ CAP(C72, CAP_U(0.1))
+
+ NET_C(GND, C72.2, C58.2)
+ NET_C(V5, R150.1, R152.1, R154.1, R151.1
+ , IC30.1/*CLR1*/, IC30.4/*PR1*/, IC30.10/*PR2*/, IC30.13/*CLR2*/
+ , IC32.1/*CLR1*/, IC32.4/*PR1*/, IC32.10/*PR2*/, IC32.13/*CLR2*/
+ )
+ NET_C(IC36.5/*A3*/, R151.2)
+ NET_C(IC36.6/*Q3*/, R152.2
+ , IC31.RESET/*4*/
+ )
+ NET_C(IC31.DISCH/*7*/, R150.2, R153.1)
+ NET_C(IC31.THRESH/*6*/, IC31.TRIG/*2*/, R153.2, C72.1)
+ NET_C(IC31.OUT/*3*/, R154.2
+ , IC32.3/*CLK1*/, IC30.3/*CLK1*/, IC30.11/*CLK2*/
+ )
+ NET_C(IC32.6/*QQ1*/, R157.1
+ , IC32.2/*D1*/, IC32.11/*CLK2*/
+ )
+ NET_C(IC32.8/*QQ2*/, IC32.12/*D2*/, R158.1)
+ NET_C(IC29.6/*Q2*/, IC30.2/*D1*/)
+ NET_C(IC30.5/*Q1*/, IC30.12/*D2*/)
+ NET_C(IC30.6/*QQ1*/, IC29.4/*A2*/)
+ NET_C(IC30.8/*QQ2*/, IC29.5/*B2*/, R123.1)
+ NET_C(R123.2, R157.2, R158.2, C58.1, C59.1)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC30.9/*Q2*/)
+
+ NC_(IC31.CONT/*5*/)
+
+ NC_(IC32.5/*Q1*/)
+ NC_(IC32.9/*Q2*/)
+
+ UNUSED_TTL_I(IC29.1/*A1*/)
+ UNUSED_TTL_I(IC29.2/*B1*/)
+ UNUSED_TTL_Q(IC29.3/*Q1*/)
+ UNUSED_TTL_Q(IC29.8/*Q3*/)
+ UNUSED_TTL_I(IC29.9/*A3*/)
+ UNUSED_TTL_I(IC29.10/*B3*/)
+ UNUSED_TTL_Q(IC29.11/*Q4*/)
+ UNUSED_TTL_I(IC29.12/*A4*/)
+ UNUSED_TTL_I(IC29.13/*B4*/)
+
+ UNUSED_TTL_I(IC36.1/*A1*/)
+ UNUSED_TTL_Q(IC36.2/*Q1*/)
+ UNUSED_TTL_I(IC36.3/*A2*/)
+ UNUSED_TTL_Q(IC36.4/*Q2*/)
+ UNUSED_TTL_Q(IC36.8/*Q4*/)
+ UNUSED_TTL_I(IC36.9/*A4*/)
+ UNUSED_TTL_Q(IC36.10/*Q5*/)
+ UNUSED_TTL_I(IC36.11/*A5*/)
+ UNUSED_TTL_Q(IC36.12/*Q6*/)
+ UNUSED_TTL_I(IC36.13/*A6*/)
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(JEEP_SOUND, C59.2)
+
+
+ /* ------------------------------------------------------------------------
+ * POINT_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(POINT_TRG.Q, R148.2)
+
+ // --------------------------------
+ // TRG -> CON
+ RES(R148, RES_K(1))
+ RES(R149, RES_K(47))
+ MA150(D5)
+ RES(R159, 470)
+ RES(R164, RES_K(470))
+ RES(R165, RES_K(470))
+ RES(R163, RES_K(27))
+ RES(R166, RES_K(12))
+ RES(R169, RES_K(30))
+ RES(R168, RES_K(10))
+ CAP(C71, CAP_U(1))
+ CAP(C74, CAP_U(1))
+ NET_C(R148.1, V5)
+ NET_C(IC35.9/*A2*/, R148.2)
+ NET_C(IC35.10/*B2*/, IC35.11/*CLRQ2*/, V5)
+ NET_C(IC35.6/*C2*/, C71.1)
+ NET_C(IC35.7/*RC2*/, C71.2, R149.2)
+ NET_C(R149.1, V5)
+ NET_C(IC35.12/*QQ2*/, D5.K)
+ NET_C(D5.A, R159.1)
+ NET_C(R159.2, C74.1, R165.2)
+ NET_C(C74.2, GND)
+ NET_C(IC38.12/*PLUS4*/, R165.1, R164.2)
+ NET_C(R164.1, V5)
+ NET_C(IC38.14/*OUT4*/, IC38.13/*MINUS4*/, R166.1)
+ NET_C(R163.1, V12)
+ NET_C(IC38.10/*PLUS3*/, R163.2, R168.1)
+ NET_C(R168.2, GND)
+ NET_C(IC38.9/*MINUS3*/, R166.2, R169.1)
+ NET_C(IC38.8/*OUT3*/, R169.2)
+
+ // --------------------------------
+ // UPPER STAGE -> MIX
+ RES(R79, RES_K(30))
+ RES(R80, RES_K(51))
+ RES(R81, RES_K(51))
+ RES(R78, RES_K(15))
+ RES(R32, RES_K(30))
+ RES(R33, RES_K(10))
+ CAP(C43, CAP_U(0.022))
+ C458(TR13)
+ NE555(IC18)
+ NET_C(GND, IC18.GND/*1*/)
+ NET_C(V5, IC18.VCC/*8*/)
+ LM324_DIP(IC25) // shared by ANIMAL_SOUND, POINT_SOUND, POINT_SOUND, POINT_SOUND
+ NET_C(GND, IC25.11/*GND*/)
+ NET_C(V12, IC25.4/*VCC*/)
+ NET_C(IC38.8/*OUT3*/, R79.1, R80.1)
+ NET_C(IC25.12/*PLUS4*/, R80.2, R81.1)
+ NET_C(R81.2, GND)
+ NET_C(IC25.13/*MINUS4*/, R79.2, C43.1, R78.1)
+ NET_C(IC18.RESET/*4*/, RST)
+ NET_C(R33.1, V5)
+ NET_C(IC18.DISCH/*7*/, R33.2)
+ NET_C(TR13.B, IC18.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR13.C, R78.2) // B & C swapped, wrong in schematics
+ NET_C(TR13.E, GND)
+ NET_C(IC25.14/*OUT4*/, IC18.TRIG/*2*/, IC18.THRESH/*6*/, C43.2, R32.1)
+
+ // --------------------------------
+ // MIDDLE STAGE -> UPPER STAGE
+ RES(R84, RES_K(30))
+ RES(R83, RES_K(51))
+ RES(R82, RES_K(51))
+ RES(R85, RES_K(15))
+ RES(R35, RES_K(30))
+ RES(R36, RES_K(10))
+ RES(R37, RES_K(2.2))
+ CAP(C44, CAP_P(6800))
+ C458(TR14)
+ NE555(IC19)
+ NET_C(GND, IC19.GND/*1*/)
+ NET_C(V5, IC19.VCC/*8*/)
+ NET_C(IC38.8/*OUT3*/, R83.1, R84.1)
+ NET_C(IC25.10/*PLUS3*/, R83.2, R82.1)
+ NET_C(R82.2, GND)
+ NET_C(IC25.9/*MINUS3*/, R84.2, C44.1, R85.1)
+ NET_C(IC19.RESET/*4*/, RST)
+ NET_C(R36.1, V5)
+ NET_C(IC19.DISCH/*7*/, R36.2)
+ NET_C(TR14.B, IC19.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR14.C, R85.2) // B & C swapped, wrong in schematics
+ NET_C(TR14.E, GND)
+ NET_C(IC25.8/*OUT3*/, IC19.TRIG/*2*/, IC19.THRESH/*6*/, C44.2, R35.2)
+ NET_C(R35.1, R32.2)
+ NET_C(R37.1, IC18.CONT/*5*/, IC19.CONT/*5*/)
+
+ // --------------------------------
+ // LOWER STAGE -> UPPER STAGE
+ RES(R130, RES_K(30))
+ RES(R129, RES_K(51))
+ RES(R128, RES_K(51))
+ RES(R131, RES_K(15))
+ RES(R34, RES_K(10))
+ CAP(C61, CAP_U(0.068))
+ CAP(C60, CAP_U(0.022))
+ CAP(C23, CAP_U(0.01))
+ C458(TR4)
+ NE555(IC8)
+ NET_C(GND, IC8.GND/*1*/)
+ NET_C(V5, IC8.VCC/*8*/)
+ NET_C(IC38.8/*OUT3*/, R130.1, R129.1)
+ NET_C(IC25.5/*PLUS2*/, R129.2, R128.1)
+ NET_C(R128.2, GND)
+ NET_C(IC25.6/*MINUS2*/, R130.2, C60.1, C61.1, R131.1)
+ NET_C(IC8.RESET/*4*/, RST)
+ NET_C(IC8.CONT/*5*/, C23.1)
+ NET_C(C23.2, GND)
+ NET_C(R34.1, V5)
+ NET_C(IC8.DISCH/*7*/, R34.2)
+ NET_C(TR4.B, IC8.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR4.C, R131.2) // B & C swapped, wrong in schematics
+ NET_C(TR4.E, GND)
+ NET_C(IC25.7/*OUT2*/, IC8.TRIG/*2*/, IC8.THRESH/*6*/, C61.2, C60.2, R37.2)
+
+ // --------------------------------
+ // CON, MIX -> OUT
+ CAP(C15, CAP_U(2.2))
+ CAP(C16, CAP_P(680))
+ CAP(C13, CAP_U(2.2))
+ NET_C(R32.2, C13.1)
+ NET_C(IC5.1/*IN1*/, C13.2)
+ NET_C(IC5.15/*OUT1*/, C15.1)
+ NET_C(IC5.14/*RO1*/, C16.1)
+ NET_C(C16.2, GND)
+ NET_C(IC38.14/*OUT4*/, IC5.2/*CON1*/)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC35.5/*Q2*/)
+
+ NET_C(IC8.OUT/*3*/, NC_IC8_OUT.1) // not connected in schematics
+ RES(NC_IC8_OUT, RES_M(1))
+ NET_C(NC_IC8_OUT.2, GND)
+ NET_C(IC18.OUT/*3*/, NC_IC18_OUT.1) // not connected in schematics
+ RES(NC_IC18_OUT, RES_M(1))
+ NET_C(NC_IC18_OUT.2, GND)
+ NET_C(IC19.OUT/*3*/, NC_IC19_OUT.1) // not connected in schematics
+ RES(NC_IC19_OUT, RES_M(1))
+ NET_C(NC_IC19_OUT.2, GND)
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(POINT_SOUND, C15.2)
+
+
+ /* ------------------------------------------------------------------------
+ * HIT_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(HIT_TRG.Q, R147.1)
+
+ // --------------------------------
+ // TRG -> CON
+ TTL_74123_DIP(IC35) // shared by HIT_SOUND, POINT_SOUND
+ NET_C(GND, IC35.8/*GND*/)
+ NET_C(V5, IC35.16/*VCC*/)
+ RES(R147, RES_K(1))
+ RES(R146, RES_K(47))
+ RES(R160, 470)
+ RES(R161, RES_K(470))
+ RES(R162, RES_K(470))
+ CAP(C70, CAP_U(1))
+ CAP(C75, CAP_U(1))
+ MA150(D6)
+ NET_C(IC35.1/*A1*/, R147.1)
+ NET_C(R147.2, V5)
+ NET_C(IC35.2/*B1*/, IC35.3/*CLRQ1*/, V5)
+ NET_C(IC35.14/*C1*/, C70.1)
+ NET_C(IC35.15/*RC1*/, C70.2, R146.2)
+ NET_C(R146.1, V5)
+ NET_C(IC35.4/*QQ1*/, D6.K)
+ NET_C(D6.A, R160.1)
+ NET_C(R160.2, C75.1, R161.2)
+ NET_C(C75.2, GND)
+ NET_C(IC38.3/*PLUS1*/, R161.1, R162.2)
+ NET_C(R162.1, V5)
+ NET_C(IC38.1/*OUT1*/, IC38.2/*MINUS1*/)
+
+ // --------------------------------
+ // CON, MIX -> OUT
+ MB4391_DIP(IC5) // shared by POINT_SOUND, HIT_SOUND
+ NET_C(GND, IC5.3/*GND1*/, IC5.7/*GND2*/)
+ NET_C(V5, IC5.16/*VCC1*/, IC5.12/*VCC2*/)
+ CAP(C18, CAP_P(680))
+ NET_C(C18.1, IC5.10/*RO2*/)
+ NET_C(C18.2, GND)
+ CAP(C14, CAP_U(2.2))
+ CAP(C17, CAP_U(2.2))
+ NET_C(IC5.6/*CON2*/, IC38.1/*OUT1*/)
+ NET_C(IC5.11/*OUT2*/, C17.1)
+ NET_C(IC5.5/*IN2*/, C14.2)
+
+ // --------------------------------
+ // LOWER STAGE -> MIX
+ C458(TR11)
+ NE555(IC16)
+ NET_C(GND, IC16.GND/*1*/)
+ NET_C(V5, IC16.VCC/*8*/)
+ RES(R71, RES_K(51))
+ RES(R70, RES_K(30))
+ RES(R72, RES_K(51))
+ RES(R69, RES_K(15))
+ RES(R28, RES_K(10))
+ RES(R76, RES_K(1))
+ CAP(C39, CAP_U(0.01))
+ CAP(C40, CAP_U(0.0033))
+ NET_C(IC24.14/*OUT4*/, C14.1)
+ NET_C(IC24.7/*OUT2*/, R70.1, R71.1)
+ NET_C(IC24.12/*PLUS4*/, R71.2, R72.1)
+ NET_C(R72.2, GND)
+ NET_C(IC24.13/*MINUS4*/, R70.2, C39.1, C40.1, R69.1)
+ NET_C(IC24.14/*OUT4*/, IC16.TRIG/*2*/, IC16.THRESH/*6*/, C39.2, C40.2)
+ NET_C(IC16.RESET/*4*/, RST)
+ NET_C(IC16.CONT/*5*/, R76.2)
+ NET_C(R28.1, V5)
+ NET_C(TR11.B, IC16.DISCH/*7*/, R28.2) // B & C swapped, wrong in schematics
+ NET_C(TR11.C, R69.2) // B & C swapped, wrong in schematics
+ NET_C(TR11.E, GND)
+
+ NET_C(IC24.8/*OUT3*/, R76.1)
+
+ RES(R119, RES_K(10))
+ RES(R120, RES_K(39))
+ RES(R121, RES_K(47))
+ RES(R122, RES_K(15))
+
+ LM324_DIP(IC24) // shared by EMAR_SOUND, HIT_SOUND, HIT_SOUND, HIT_SOUND
+ NET_C(GND, IC24.11/*GND*/)
+ NET_C(V12, IC24.4/*VCC*/)
+
+ NET_C(V12, R120.1)
+ NET_C(R120.2, R119.1)
+ NET_C(IC24.5/*PLUS2*/, R120.2)
+ NET_C(R119.2, GND)
+ NET_C(IC24.6/*MINUS2*/, R122.2, R121.1)
+ NET_C(IC24.7/*OUT2*/, R121.2)
+
+ NET_C(IC24.8/*OUT3*/, R122.1)
+
+ // --------------------------------
+ // UPPER STAGE -> LOWER STAGE
+ C458(TR12)
+ NE555(IC17)
+ NET_C(GND, IC17.GND/*1*/)
+ NET_C(V5, IC17.VCC/*8*/)
+ RES(R75, RES_K(470))
+ RES(R74, RES_K(51))
+ RES(R73, RES_K(51))
+ RES(R77, RES_K(5.1))
+ RES(R30, RES_K(10))
+ CAP(C41, CAP_U(2.2))
+ CAP(C42, CAP_U(2.2))
+ CAP(C21, CAP_U(0.01))
+ NET_C(V6, R75.1, R74.1)
+ NET_C(C41.1, C42.1)
+ NET_C(IC24.9/*MINUS3*/, R75.2, R77.1, C41.2)
+ NET_C(IC24.10/*PLUS3*/, R74.2, R73.1)
+ NET_C(R73.2, GND)
+ NET_C(IC17.RESET/*4*/, RST)
+ NET_C(R30.1, V5)
+ NET_C(IC17.DISCH/*7*/, R30.2)
+ NET_C(IC17.CONT/*5*/, C21.1)
+ NET_C(C21.2, GND)
+ NET_C(TR12.B, IC17.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR12.C, R77.2) // B & C swapped, wrong in schematics
+ NET_C(TR12.E, GND)
+ NET_C(IC24.8/*OUT3*/, IC17.TRIG/*2*/, IC17.THRESH/*6*/, C42.2)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC35.13/*Q1*/)
+
+ NET_C(IC17.OUT/*3*/, NC_IC17_OUT.1) // not connected in schematics
+ RES(NC_IC17_OUT, RES_M(1))
+ NET_C(NC_IC17_OUT.2, GND)
+ NET_C(IC16.OUT/*3*/, NC_IC16_OUT.1) // not connected in schematics
+ RES(NC_IC16_OUT, RES_M(1))
+ NET_C(NC_IC16_OUT.2, GND)
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(HIT_SOUND, C17.2)
+
+
+ /* ------------------------------------------------------------------------
+ * ANIMAL_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(ANIMAL_TRG.Q, R145.2)
+
+ // --------------------------------
+ // TGG -> TRG2 -> CON
+ RES(R145, RES_K(1))
+ RES(R143, RES_K(47))
+ RES(R144, RES_K(47))
+ RES(R106, 470)
+ RES(R108, RES_K(470))
+ RES(R107, RES_K(470))
+ CAP(C67, CAP_U(47))
+ CAP(C66, CAP_U(47))
+ CAP(C69, CAP_U(1))
+ CAP(C53, CAP_U(1))
+ MA150(D4)
+ TTL_74123_DIP(IC34) // shared by ANIMAL_SOUND, ANIMAL_SOUND
+ NET_C(GND, IC34.8/*GND*/)
+ NET_C(V5, IC34.16/*VCC*/)
+ NET_C(R145.1, V5)
+ NET_C(IC34.1/*A1*/, R145.2)
+ NET_C(IC34.2/*B1*/, IC34.3/*CLRQ1*/, V5)
+ NET_C(IC34.14/*C1*/, C67.2, C66.2)
+ NET_C(IC34.15/*RC1*/, C67.1, C66.1, R143.2)
+ NET_C(R143.1, V5)
+ NET_C(IC34.1/*A1*/, IC34.9/*A2*/)
+ NET_C(IC34.13/*Q1*/, IC34.10/*B2*/, IC34.11/*CLRQ2*/)
+ NET_C(IC34.6/*C2*/, C69.2)
+ NET_C(IC34.7/*RC2*/, C69.1, R144.2)
+ NET_C(R144.1, V5)
+ NET_C(IC34.12/*QQ2*/, D4.K)
+ NET_C(D4.A, R106.1)
+ NET_C(R106.2, C53.1, R107.2)
+ NET_C(C53.2, GND)
+ NET_C(IC23.3/*PLUS1*/, R107.1, R108.2)
+ NET_C(R108.1, V5)
+ NET_C(IC23.1/*OUT1*/, IC23.2/*MINUS1*/, IC4.6/*CON2*/)
+
+ // --------------------------------
+ C458(TR16)
+ RES(R110, RES_K(10))
+ NET_C(IC34.13/*Q1*/, R110.1)
+ NET_C(TR16.B, R110.2) // B & C swapped, wrong in schematics
+ NET_C(TR16.C, R109.2) // B & C swapped, wrong in schematics
+ NET_C(TR16.E, GND)
+
+ C458(TR15)
+ RES(R109, RES_K(1))
+ RES(R44, 220)
+ NET_C(R109.1, V5)
+ NET_C(TR15.B, R109.2) // B & C swapped, wrong in schematics
+ NET_C(TR15.C, C24.1) // B & C swapped, wrong in schematics
+ NET_C(TR15.E, R44.1)
+ NET_C(R44.2, C24.2)
+
+ // --------------------------------
+ // UPPER STAGE -> MIDDLE STAGE
+ RES(R39, RES_K(51))
+ RES(R38, RES_K(22))
+ RES(R15, RES_K(51))
+ RES(R41, RES_K(22))
+ RES(R42, RES_K(36))
+ RES(R43, RES_K(10))
+ RES(R40, RES_M(1))
+ CAP(C24, CAP_U(100))
+ LM324_DIP(IC9) // shared by ANIMAL_SOUND, ANIMAL_SOUND, ANIMAL_SOUND, ANIMAL_SOUND
+ NET_C(GND, IC9.11/*GND*/)
+ NET_C(V12, IC9.4/*VCC*/)
+ NET_C(R40.1, R39.1, V12)
+ NET_C(IC9.5/*PLUS2*/, R39.2, R15.1)
+ NET_C(R15.2, GND)
+ NET_C(IC9.6/*MINUS2*/, R40.2, C24.1)
+ NET_C(IC9.7/*OUT2*/, C24.2, R38.1)
+ NET_C(R42.1, V12)
+ NET_C(IC9.3/*PLUS1*/, R42.2, R43.1)
+ NET_C(R43.2, GND)
+ NET_C(IC9.2/*MINUS1*/, R38.2, R41.1)
+ NET_C(IC9.1/*OUT1*/, R41.2)
+
+ // --------------------------------
+ // MIDDLE STAGE -> MIX
+ RES(R11, RES_K(30))
+ RES(R12, RES_K(51))
+ RES(R13, RES_K(51))
+ RES(R10, RES_K(1))
+ RES(R9, RES_K(10))
+ RES(R14, RES_K(5.1))
+ RES(R16, RES_K(10))
+ CAP(C1, CAP_U(0.033))
+ C458(TR1)
+ NE555(IC1)
+ NET_C(GND, IC1.GND/*1*/)
+ NET_C(V5, IC1.VCC/*8*/)
+ NET_C(IC9.1/*OUT1*/, R11.1, R12.1)
+ NET_C(IC9.12/*PLUS4*/, R12.2, R13.1)
+ NET_C(R13.2, GND)
+ NET_C(IC9.13/*MINUS4*/, R11.2, C1.1, R10.1)
+ NET_C(IC1.RESET/*4*/, RST)
+ NET_C(IC1.DISCH/*7*/, R9.2)
+ NET_C(R9.1, V5)
+ NET_C(TR1.B, IC1.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR1.C, R10.2) // B & C swapped, wrong in schematics
+ NET_C(TR1.E, GND)
+ NET_C(IC9.14/*OUT4*/, IC1.TRIG/*2*/, IC1.THRESH/*6*/, C1.2)
+ NET_C(IC9.14/*OUT4*/, R16.1)
+ NET_C(IC1.CONT/*5*/, R14.1)
+
+ RES(R17, RES_K(5.1))
+ RES(R18, RES_K(3.3))
+ NET_C(IC9.8/*OUT3*/, IC9.9/*MINUS3*/, R14.2)
+ NET_C(IC9.10/*PLUS3*/, R17.2, R18.2)
+
+ // --------------------------------
+ // LOWER STAGE -> MIDDLE STAGE
+ RES(R59, RES_K(560))
+ RES(R58, RES_K(51))
+ RES(R57, RES_K(51))
+ RES(R60, RES_K(5.1))
+ RES(R23, RES_K(10))
+ CAP(C34, CAP_U(1))
+ CAP(C35, CAP_U(1))
+ CAP(C7, CAP_U(0.01))
+ C458(TR8)
+ NE555(IC13)
+ NET_C(GND, IC13.GND/*1*/)
+ NET_C(V5, IC13.VCC/*8*/)
+ NET_C(R59.1, R58.1, V6)
+ NET_C(IC22.10/*PLUS3*/, R58.2, R57.1)
+ NET_C(R57.2, GND)
+ NET_C(IC22.9/*MINUS3*/, R59.2, C34.2, R60.1)
+ NET_C(C34.1, C35.1)
+ NET_C(IC13.RESET/*4*/, RST)
+ NET_C(IC13.DISCH/*7*/, R23.2)
+ NET_C(R23.1, V5)
+ NET_C(IC13.CONT/*5*/, C7.1)
+ NET_C(C7.2, GND)
+ NET_C(TR8.B, IC13.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR8.C, R60.2) // B & C swapped, wrong in schematics
+ NET_C(TR8.E, GND)
+ NET_C(IC22.8/*OUT3*/, IC13.TRIG/*2*/, IC13.THRESH/*6*/, C35.2, R18.1)
+
+ // --------------------------------
+ // BOTTOM STAGE -> MIDDLE STAGE
+ RES(R127, RES_K(56))
+ RES(R125, RES_K(51))
+ RES(R124, RES_K(51))
+ RES(R126, RES_K(30))
+ RES(R31, RES_K(10))
+ CAP(C57, CAP_U(1))
+ CAP(C56, CAP_U(1))
+ CAP(C22, CAP_U(0.01))
+ C458(TR3)
+ NE555(IC7)
+ NET_C(GND, IC7.GND/*1*/)
+ NET_C(V5, IC7.VCC/*8*/)
+ NET_C(R127.1, R125.1, V6)
+ NET_C(IC25.3/*PLUS1*/, R125.2, R124.1)
+ NET_C(R124.2, GND)
+ NET_C(IC25.2/*MINUS1*/, R127.2, C57.2, R126.1)
+ NET_C(C57.1, C56.1)
+ NET_C(IC7.RESET/*4*/, RST)
+ NET_C(IC7.CONT/*5*/, C22.1)
+ NET_C(C22.2, GND)
+ NET_C(IC7.DISCH/*7*/, R31.2)
+ NET_C(R31.1, V5)
+ NET_C(TR3.B, IC7.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR3.C, R126.2) // B & C swapped, wrong in schematics
+ NET_C(TR3.E, GND)
+ NET_C(IC25.1/*OUT1*/, IC7.TRIG/*2*/, IC7.THRESH/*6*/, C56.2)
+ NET_C(IC25.1/*OUT1*/, R17.1)
+
+ // --------------------------------
+ // CON, MIX -> OUT
+ CAP(C6, CAP_U(2.2))
+ CAP(C11, CAP_U(2.2))
+ CAP(C12, CAP_P(680))
+ NET_C(IC4.10/*RO2*/, C12.1)
+ NET_C(C12.2, GND)
+ NET_C(R16.2, C6.1)
+ NET_C(IC4.5/*IN2*/, C6.2)
+ NET_C(IC4.11/*OUT2*/, C11.1)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC34.4/*QQ1*/)
+ NC_(IC34.5/*Q2*/)
+
+ NET_C(IC1.OUT/*3*/, NC_IC1_OUT.1) // not connected in schematics
+ RES(NC_IC1_OUT, RES_M(1))
+ NET_C(NC_IC1_OUT.2, GND)
+
+ NET_C(IC13.OUT/*3*/, NC_IC13_OUT.1) // not connected in schematics
+ RES(NC_IC13_OUT, RES_M(1))
+ NET_C(NC_IC13_OUT.2, GND)
+
+ NET_C(IC7.OUT/*3*/, NC_IC7_OUT.1) // not connected in schematics
+ RES(NC_IC7_OUT, RES_M(1))
+ NET_C(NC_IC7_OUT.2, GND)
+
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(ANIMAL_SOUND, C11.2)
+
+
+ /* ------------------------------------------------------------------------
+ * EMAR_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(EMAR_TRG.Q, R142.2)
+
+ // --------------------------------
+ // TRG -> CON
+ RES(R142, RES_K(1))
+ RES(R141, RES_K(47))
+ MA150(D3)
+ RES(R103, 470)
+ RES(R105, RES_K(470))
+ RES(R104, RES_K(470))
+ CAP(C68, CAP_U(1))
+ CAP(C52, CAP_U(1))
+ NET_C(IC33.9/*A2*/, R142.2)
+ NET_C(R142.1, V5)
+ NET_C(IC33.10/*B2*/, IC33.11/*CLRQ2*/, V5)
+ NET_C(IC33.6/*C2*/, C68.1)
+ NET_C(IC33.7/*RC2*/, C68.2, R141.2)
+ NET_C(R141.1, V5)
+ NET_C(IC33.12/*QQ2*/, D3.K)
+ NET_C(D3.A, R103.1)
+ NET_C(R103.2, C52.1, R105.2)
+ NET_C(C52.2, GND)
+ NET_C(IC22.5/*PLUS2*/, R105.1, R104.2)
+ NET_C(R104.1, V5)
+ NET_C(IC22.7/*OUT2*/, IC22.6/*MINUS2*/)
+
+ // --------------------------------
+ // CON, MIX -> OUT
+ MB4391_DIP(IC4) // shared by EMAR_SOUND, ANIMAL_SOUND
+ NET_C(GND, IC4.3/*GND1*/, IC4.7/*GND2*/)
+ NET_C(V5, IC4.16/*VCC1*/, IC4.12/*VCC2*/)
+ RES(R24, RES_K(10))
+ CAP(C8, CAP_U(2.2))
+ CAP(C9, CAP_U(2.2))
+ CAP(C10, CAP_P(680))
+ NET_C(IC22.7/*OUT2*/, IC4.2/*CON1*/)
+ NET_C(IC4.14/*RO1*/, C10.1)
+ NET_C(C10.2, GND)
+ NET_C(IC4.15/*OUT1*/, C9.1)
+ NET_C(IC4.1/*IN1*/, C8.2)
+ NET_C(R24.2, C8.1)
+
+ LM324_DIP(IC23) // shared by ANIMAL_SOUND, EMAR_SOUND, EMAR_SOUND, EMAR_SOUND
+ NET_C(GND, IC23.11/*GND*/)
+ NET_C(V12, IC23.4/*VCC*/)
+
+ // --------------------------------
+ // LOWER STAGE -> UPPER STAGE
+ C458(TR2)
+ NE555(IC6)
+ NET_C(GND, IC6.GND/*1*/)
+ NET_C(V5, IC6.VCC/*8*/)
+ RES(R116, RES_K(470))
+ RES(R117, RES_K(51))
+ RES(R118, RES_K(51))
+ RES(R115, RES_K(51))
+ RES(R29, RES_K(10))
+ CAP(C55, CAP_U(3.3))
+ CAP(C54, CAP_U(3.3))
+ CAP(C20, CAP_U(0.01))
+ NET_C(R116.1, R117.1, V6)
+ NET_C(IC24.3/*PLUS1*/, R117.2, R118.1)
+ NET_C(R118.2, GND)
+ NET_C(IC24.2/*MINUS1*/, R116.2, C55.2, R115.1)
+ NET_C(C55.1, C54.1)
+ NET_C(IC6.RESET/*4*/, RST)
+ NET_C(IC6.CONT/*5*/, C20.1)
+ NET_C(C20.2, GND)
+ NET_C(IC6.DISCH/*7*/, R29.2)
+ NET_C(R29.1, V5)
+ NET_C(TR2.B, IC6.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR2.C, R115.2) // B & C swapped, wrong in schematics
+ NET_C(TR2.E, GND)
+ NET_C(IC24.1/*OUT1*/, IC6.TRIG/*2*/, IC6.THRESH/*6*/, C54.2)
+
+ NET_C(IC24.1/*OUT1*/, R113.1)
+ RES(R113, RES_K(22))
+ RES(R111, RES_K(27))
+ RES(R112, RES_K(10))
+ RES(R114, RES_K(22))
+ NET_C(R111.1, V12)
+ NET_C(IC23.5/*PLUS2*/, R111.2, R112.1)
+ NET_C(R112.2, GND)
+ NET_C(IC23.6/*MINUS2*/, R113.2, R114.1)
+ NET_C(IC23.7/*OUT2*/, R114.2)
+
+ // --------------------------------
+ // MIDDLE STAGE -> UPPER STAGE
+ C458(TR10)
+ NE555(IC15)
+ NET_C(GND, IC15.GND/*1*/)
+ NET_C(V5, IC15.VCC/*8*/)
+ RES(R67, RES_K(220))
+ RES(R66, RES_K(51))
+ RES(R65, RES_K(51))
+ RES(R68, RES_K(100))
+ RES(R27, RES_K(10))
+ RES(R26, RES_K(33))
+ CAP(C38, CAP_U(1))
+ CAP(C37, CAP_U(1))
+ CAP(C19, CAP_U(0.01))
+ NET_C(R67.1, R66.1, V6)
+ NET_C(IC23.10/*PLUS3*/, R66.2, R65.1)
+ NET_C(R65.2, GND)
+ NET_C(IC23.9/*MINUS3*/, R67.2, C37.2, R68.1)
+ NET_C(IC15.RESET/*4*/, RST)
+ NET_C(IC15.CONT/*5*/, C19.1)
+ NET_C(C19.2, GND)
+ NET_C(IC15.DISCH/*7*/, R27.2)
+ NET_C(R27.1, V5)
+ NET_C(TR10.B, IC15.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR10.C, R68.2) // B & C swapped, wrong in schematics
+ NET_C(TR10.E, GND)
+ NET_C(IC23.8/*OUT3*/, IC15.TRIG/*2*/, IC15.THRESH/*6*/, C38.2)
+ NET_C(C38.1, C37.1)
+ NET_C(IC15.OUT/*3*/, R26.2)
+
+ // --------------------------------
+ // UPPER STAGE -> MIX
+ C458(TR9)
+ NE555(IC14)
+ NET_C(GND, IC14.GND/*1*/)
+ NET_C(V5, IC14.VCC/*8*/)
+ RES(R62, RES_K(30))
+ RES(R63, RES_K(51))
+ RES(R64, RES_K(51))
+ RES(R61, RES_K(15))
+ RES(R25, RES_K(10))
+ CAP(C36, CAP_U(0.022))
+ CAP(C2, CAP_U(1)) // ? maybe 0.01uF
+ NET_C(IC23.7/*OUT2*/, R62.1, R63.1)
+ NET_C(IC23.12/*PLUS4*/, R63.2, R64.1)
+ NET_C(R64.2, GND)
+ NET_C(IC23.13/*MINUS4*/, R62.2, C36.1, R61.1)
+ NET_C(IC14.RESET/*4*/, RST)
+ NET_C(IC14.DISCH/*7*/, R25.2)
+ NET_C(R25.1, V5)
+ NET_C(IC14.CONT/*5*/, C2.1, R26.1)
+ NET_C(C2.2, GND)
+ NET_C(TR9.B, IC14.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR9.C, R61.2) // B & C swapped, wrong in schematics
+ NET_C(TR9.E, GND)
+ NET_C(IC23.14/*OUT4*/, IC14.TRIG/*2*/, IC14.THRESH/*6*/, C36.2)
+ NET_C(IC23.14/*OUT4*/, R24.1)
+
+ // --------------------------------
+ // UNUSED PINS
+ NC_(IC33.5/*Q2*/)
+
+ NET_C(IC6.OUT/*3*/, NC_IC6_OUT.1) // not connected in schematics
+ RES(NC_IC6_OUT, RES_M(1))
+ NET_C(NC_IC6_OUT.2, GND)
+ NET_C(IC14.OUT/*3*/, NC_IC14_OUT.1) // not connected in schematics
+ RES(NC_IC14_OUT, RES_M(1))
+ NET_C(NC_IC14_OUT.2, GND)
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(EMAR_SOUND, C9.2)
+
+
+ /* ------------------------------------------------------------------------
+ * WALK_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(WALK_TRG.Q, R140.2)
+
+ // --------------------------------
+ NE555(IC28)
+ NET_C(GND, IC28.GND/*1*/)
+ NET_C(V5, IC28.VCC/*8*/)
+
+ LM324_DIP(IC21) // shared by GRY_SOUND, WALK_SOUND, CRY_SOUND, CRY_SOUND
+ NET_C(GND, IC21.11/*GND*/)
+ NET_C(V12, IC21.4/*VCC*/)
+
+ RES(R95, RES_K(100))
+ RES(R96, RES_K(22))
+ RES(R98, RES_K(27))
+ RES(R137, RES_K(22))
+ RES(R140, RES_K(1))
+ CAP(C3, CAP_U(2.2))
+ CAP(C50, CAP_U(0.1))
+ CAP(C51, CAP_U(2.2))
+ CAP(C65, CAP_U(0.01))
+ CAP(C77, CAP_U(2.2))
+
+ NET_C(GND, C65.2, C77.2, C50.2)
+ NET_C(V6, IC21.5/*PLUS2*/)
+ NET_C(V5, R140.1, R137.1)
+ NET_C(R140.2, IC28.TRIG/*2*/)
+ NET_C(C65.1, IC28.CONT/*5*/)
+ NET_C(IC28.THRESH/*6*/, IC28.DISCH/*7*/, R137.2, C77.1)
+ NET_C(IC28.OUT/*3*/ , R98.1)
+ NET_C(R98.2, C50.1, C51.1)
+ NET_C(C51.2, R96.1)
+ NET_C(R96.2, R95.1, IC21.6/*MINUS2*/)
+ NET_C(IC21.7/*OUT2*/, R95.2, C3.1)
+
+ // --------------------------------
+ // UNUSED PINS
+ NET_C(V5, IC28.RESET/*4*/) // not connected on schematic
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(WALK_SOUND, C3.2)
+
+
+ /* ------------------------------------------------------------------------
+ * CRY_SOUND
+ * -----------------------------------------------------------------------*/
+ // INPUT
+ NET_C(CRY_TRG.Q, R139.2)
+
+ // --------------------------------
+ // TRG -> CON
+ TTL_74123_DIP(IC33) // shared by CRY_SOUND, EMAR_SOUND
+ NET_C(GND, IC33.8/*GND*/)
+ NET_C(V5, IC33.16/*VCC*/)
+ LM324_DIP(IC22) // shared by CRY_SOUND, EMAR_SOUND, ANIMAL_SOUND, CRY_SOUND
+ NET_C(GND, IC22.11/*GND*/)
+ NET_C(V12, IC22.4/*VCC*/)
+ MA150(D2)
+ RES(R139, RES_K(1))
+ RES(R138, RES_K(47))
+ RES(R97, 470)
+ RES(R87, RES_K(470))
+ RES(R94, RES_K(470))
+ CAP(C64, CAP_U(1))
+ NET_C(V5, R139.1, R138.1, R87.1)
+ NET_C(GND, C49.2)
+ NET_C(R139.2, IC33.1/*A1*/)
+ NET_C(V5, IC33.2/*B1*/, IC33.3/*CLRQ1*/)
+ NET_C(IC33.14/*C1*/, C64.1)
+ NET_C(IC33.15/*RC1*/, C64.2, R138.2)
+ NET_C(IC33.4/*QQ1*/, D2.K)
+ NC_(IC33.13/*Q1*/)
+ NET_C(D2.A, R97.1)
+ NET_C(R97.2, C49.1, R94.2)
+ NET_C(IC21.3/*PLUS1*/, R87.2, R94.1)
+ NET_C(IC21.1/*OUT1*/, IC21.2/*MINUS1*/, IC20.6/*CON2*/)
+
+ // --------------------------------
+ // CON, MIX -> OUT
+ RES(R86, RES_K(10))
+ CAP(C47, CAP_U(2.2))
+ CAP(C49, CAP_U(1))
+ CAP(C28, CAP_P(680))
+ CAP(C27, CAP_U(2.2))
+ NET_C(IC21.14/*OUT4*/, R86.1)
+ NET_C(R86.2, C47.1)
+ NET_C(IC20.5/*IN2*/, C47.2)
+ NET_C(IC20.10/*RO2*/, C28.1)
+ NET_C(C28.2, GND)
+ NET_C(IC20.11/*OUT2*/, C27.1)
+
+ // --------------------------------
+ // UPPER STAGE -> MIDDLE STAGE
+ C458(TR7)
+ NE555(IC12)
+ NET_C(GND, IC12.GND/*1*/)
+ NET_C(V5, IC12.VCC/*8*/)
+ NET_C(RST, IC12.RESET/*4*/)
+ RES(R22, RES_K(10))
+ RES(R55, RES_K(51))
+ RES(R54, RES_K(220))
+ RES(R56, RES_K(51))
+ RES(R53, RES_K(100))
+ CAP(C33, CAP_U(0.22))
+ CAP(C32, CAP_U(0.22))
+ CAP(C5, CAP_U(0.01))
+ NET_C(TR7.B, IC12.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR7.C, R53.2) // B & C swapped, wrong in schematics
+ NET_C(TR7.E, GND)
+ NET_C(V5, R22.1)
+ NET_C(IC12.DISCH/*7*/, R22.2)
+ NET_C(IC22.14/*OUT4*/, IC12.TRIG/*2*/, IC12.THRESH/*6*/)
+ NET_C(IC22.14/*OUT4*/, C32.2)
+ NET_C(C32.1, C33.1)
+ NET_C(IC22.13/*MINUS4*/, R53.1, R54.2, C33.2)
+ NET_C(IC22.12/*PLUS4*/, R55.2, R56.1)
+ NET_C(R56.2, GND)
+ NET_C(R54.1, R55.1, V6)
+ NET_C(IC12.CONT/*5*/, C5.1)
+ NET_C(C5.2, GND)
+
+ RES(R99, RES_K(22))
+ RES(R100, RES_K(100))
+ RES(R101, RES_K(15))
+ RES(R102, RES_K(33))
+ NET_C(IC22.14/*OUT4*/, R100.1)
+ NET_C(IC22.1/*OUT1*/, R102.2)
+ NET_C(IC22.2/*MINUS1*/, R100.2, R102.1)
+ NET_C(IC22.3/*PLUS1*/, R99.2, R101.1)
+ NET_C(R99.1, V12)
+ NET_C(R101.2, GND)
+
+ // --------------------------------
+ // LOWER STAGE -> MIDDLE STAGE
+ C458(TR6)
+ NE555(IC11)
+ NET_C(GND, IC11.GND/*1*/)
+ NET_C(V5, IC11.VCC/*8*/)
+ NET_C(RST, IC11.RESET/*4*/)
+ RES(R21, RES_K(10))
+ RES(R49, RES_K(51))
+ RES(R50, RES_K(51))
+ RES(R51, RES_K(470))
+ RES(R52, RES_K(5.1))
+ CAP(C30, CAP_U(2.2))
+ CAP(C31, CAP_U(2.2))
+ CAP(C4, CAP_U(0.01))
+ NET_C(TR6.B, IC11.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR6.C, R52.2) // B & C swapped, wrong in schematics
+ NET_C(TR6.E, GND)
+ NET_C(V5, R21.1)
+ NET_C(IC11.DISCH/*7*/, R21.2)
+ NET_C(IC21.8/*OUT3*/, IC11.TRIG/*2*/, IC11.THRESH/*6*/)
+ NET_C(IC21.9/*MINUS3*/, R52.1, R51.2, C30.2)
+ NET_C(C30.1, C31.1)
+ NET_C(IC21.8/*OUT3*/, C31.2)
+ NET_C(IC21.10/*PLUS3*/, R50.2, R49.1)
+ NET_C(R49.2, GND)
+ NET_C(V6, R51.1, R50.1)
+ NET_C(IC11.CONT/*5*/, C4.1)
+ NET_C(C4.2, GND)
+ NET_C(IC21.8/*OUT3*/, R20.1)
+ NET_C(IC10.CONT/*5*/, R20.2)
+
+ // --------------------------------
+ // MIDDLE STAGE -> MIX
+ C458(TR5)
+ NE555(IC10)
+ NET_C(GND, IC10.GND/*1*/)
+ NET_C(V5, IC10.VCC/*8*/)
+ NET_C(RST, IC10.RESET/*4*/)
+ RES(R19, RES_K(10))
+ RES(R45, RES_K(15))
+ RES(R46, RES_K(30))
+ RES(R47, RES_K(51))
+ RES(R48, RES_K(51))
+ CAP(C29, CAP_U(0.022))
+ RES(R20, RES_K(1))
+
+ NET_C(TR5.B, IC10.DISCH/*7*/) // B & C swapped, wrong in schematics
+ NET_C(TR5.C, R45.2) // B & C swapped, wrong in schematics
+ NET_C(TR5.E, GND)
+ NET_C(V5, R19.1)
+ NET_C(IC10.DISCH/*7*/, R19.2)
+ NET_C(IC21.14/*OUT4*/, IC10.TRIG/*2*/, IC10.THRESH/*6*/)
+ NET_C(IC21.13/*MINUS4*/, R45.1, R46.2, C29.1)
+ NET_C(IC21.12/*PLUS4*/, R47.2, R48.1)
+ NET_C(R48.2, GND)
+ NET_C(IC22.1/*OUT1*/, R46.1, R47.1)
+ NET_C(IC21.14/*OUT4*/, C29.2)
+
+ // --------------------------------
+ // UNUSED PINS
+ NET_C(IC10.OUT/*3*/, NC_IC10_OUT.1) // not connected in schematics
+ RES(NC_IC10_OUT, RES_M(1))
+ NET_C(NC_IC10_OUT.2, GND)
+ NET_C(IC11.OUT/*3*/, NC_IC11_OUT.1) // not connected in schematics
+ RES(NC_IC11_OUT, RES_M(1))
+ NET_C(NC_IC11_OUT.2, GND)
+ NET_C(IC12.OUT/*3*/, NC_IC12_OUT.1) // not connected in schematics
+ RES(NC_IC12_OUT, RES_M(1))
+ NET_C(NC_IC12_OUT.2, GND)
+
+ // --------------------------------
+ // OUTPUT
+ NET_C(CRY_SOUND, C27.2)
+
+NETLIST_END()
+
+
+
+
+static NETLIST_START(brdrline_sound_out)
+ LM324_DIP(IC38) // shared by HIT_SOUND, SOUND_OUT, POINT_SOUND, POINT_SOUND
+ NET_C(GND, IC38.11/*GND*/)
+ NET_C(V12, IC38.4/*VCC*/)
+
+ POT(VR8, RES_K(200))
+ POT(VR1, RES_K(200))
+ POT(VR6, RES_K(200))
+ POT(VR7, RES_K(200))
+ POT(VR5, RES_K(500))
+ POT(VR4, RES_K(200))
+ POT(VR3, RES_K(200))
+ POT(VR2, RES_K(200))
+ PARAM(VR8.DIAL, 0.000) // JEEP_SOUND
+ PARAM(VR1.DIAL, 0.400) // GUN_SOUND
+ PARAM(VR6.DIAL, 1.000) // POINT_SOUND
+ PARAM(VR7.DIAL, 0.800) // HIT_SOUND
+ PARAM(VR5.DIAL, 0.990) // ANIMAL_SOUND
+ PARAM(VR4.DIAL, 0.975) // EMAR_SOUND
+ PARAM(VR3.DIAL, 0.000) // WALK_SOUND
+ PARAM(VR2.DIAL, 1.000) // CRY_SOUND
+
+ RES(R8, RES_K(22))
+ RES(R1, RES_K(22))
+ RES(R6, RES_K(22))
+ RES(R7, RES_K(22))
+ RES(R5, RES_K(22))
+ RES(R4, RES_K(22))
+ RES(R3, RES_K(22))
+ RES(R2, RES_K(22))
+ RES(R167, RES_K(10))
+
+ CAP(C76, CAP_U(10))
+
+ NET_C(VR8.1, VR8.2)
+ NET_C(VR1.1, VR1.2)
+ NET_C(VR6.1, VR6.2)
+ NET_C(VR7.1, VR7.2)
+ NET_C(VR5.1, VR5.2)
+ NET_C(VR4.1, VR4.2)
+ NET_C(VR3.1, VR3.2)
+ NET_C(VR2.1, VR2.2)
+
+ NET_C(VR8.3, R8.1)
+ NET_C(VR1.3, R1.1)
+ NET_C(VR6.3, R6.1)
+ NET_C(VR7.3, R7.1)
+ NET_C(VR5.3, R5.1)
+ NET_C(VR4.3, R4.1)
+ NET_C(VR3.3, R3.1)
+ NET_C(VR2.3, R2.1)
+
+ NET_C(R1.2, R2.2, R3.2, R4.2, R5.2, R6.2, R7.2, R8.2
+ , IC38.6/*MINUS2*/
+ , R167.1
+ )
+ NET_C(V6, IC38.5/*PLUS2*/)
+ NET_C(IC38.7/*OUT2*/, R167.2, C76.1)
+
+ // --------------------------------
+ // INPUT
+ ALIAS(JEEP_SOUND, VR8.1)
+ ALIAS(GUN_SOUND, VR1.1)
+ ALIAS(POINT_SOUND, VR6.1)
+ ALIAS(HIT_SOUND, VR7.1)
+ ALIAS(ANIMAL_SOUND, VR5.1)
+ ALIAS(EMAR_SOUND, VR4.1)
+ ALIAS(WALK_SOUND, VR3.1)
+ ALIAS(CRY_SOUND, VR2.1)
+
+ // --------------------------------
+ // OUTPUT
+ RES(Rsound, RES_K(1)) // dummy load
+ NET_C(C76.2, Rsound.1)
+ NET_C(GND, Rsound.2)
+#if 0
+ AFUNC(CLIPPING, 1, "max(-0.11,min(0.11, A0))")
+ NET_C(C76.2, CLIPPING.A0)
+#else
+ ALIAS(SOUND_OUT, Rsound.1)
+#endif
+
+NETLIST_END()
+
+
+
+
+NETLIST_START(brdrline)
+
+#if 1
+ SOLVER(Solver, 1000)
+ PARAM(Solver.DYNAMIC_TS, 1)
+ PARAM(Solver.DYNAMIC_MIN_TIMESTEP, 2e-5)
+#else
+ SOLVER(solver, 48000)
+#endif
+
+ LOCAL_SOURCE(_MB4391)
+ LOCAL_SOURCE(_MB4391_DIP)
+
+ LOCAL_SOURCE(brdrline_schematics)
+ LOCAL_SOURCE(brdrline_sound_out)
+
+ // --------------------------------
+ // VOLTAGE SOURCES
+ ANALOG_INPUT(V12, 12)
+ ANALOG_INPUT(V5, 5)
+#if 1
+ ANALOG_INPUT(V6, 6)
+#else
+ RES(R135, RES_K(1))
+ RES(R136, RES_K(1))
+ NET_C(R135.1, V12)
+ NET_C(R136.2, GND)
+ NET_C(R135.2, R136.1)
+ CAP(CP135, CAP_U(100))
+ CAP(CP136, CAP_U(100))
+ NET_C(CP135.1, V12)
+ NET_C(CP136.2, GND)
+ NET_C(CP135.2, CP136.1)
+
+ ALIAS(V6, R135.2)
+#endif
+ // --------------------------------
+ INCLUDE(brdrline_schematics)
+
+ // --------------------------------
+ TTL_INPUT(GUN_TRG, 1) // active low
+ NET_C(GND, GUN_TRG.GND)
+ NET_C(V5, GUN_TRG.VCC)
+
+ TTL_INPUT(JEEP_ON, 1) // active low
+ NET_C(GND, JEEP_ON.GND)
+ NET_C(V5, JEEP_ON.VCC)
+
+ TTL_INPUT(POINT_TRG, 1) // active low
+ NET_C(GND, POINT_TRG.GND)
+ NET_C(V5, POINT_TRG.VCC)
+
+ TTL_INPUT(HIT_TRG, 1) // active low
+ NET_C(GND, HIT_TRG.GND)
+ NET_C(V5, HIT_TRG.VCC)
+
+ TTL_INPUT(ANIMAL_TRG, 1) // active low
+ NET_C(GND, ANIMAL_TRG.GND)
+ NET_C(V5, ANIMAL_TRG.VCC)
+
+ TTL_INPUT(EMAR_TRG, 1) // active low
+ NET_C(GND, EMAR_TRG.GND)
+ NET_C(V5, EMAR_TRG.VCC)
+
+ TTL_INPUT(WALK_TRG, 1) // active low
+ NET_C(GND, WALK_TRG.GND)
+ NET_C(V5, WALK_TRG.VCC)
+
+ TTL_INPUT(CRY_TRG, 1) // active low
+ NET_C(GND, CRY_TRG.GND)
+ NET_C(V5, CRY_TRG.VCC)
+
+ // --------------------------------
+ INCLUDE(brdrline_sound_out)
+
+
+ // --------------------------------
+ ALIAS(OUTPUT, SOUND_OUT)
+
+ // --------------------------------
+ // TEST POINTS
+/**/
+ ALIAS(GUN_TP0, HIT_TRG.Q)
+ ALIAS(GUN_TP1, D1.K)
+ ALIAS(GUN_TP2, IC20.2)
+ ALIAS(GUN_TP3, C29.2)
+ ALIAS(GUN_TP4, C62.1)
+ ALIAS(GUN_TP5, C46.1)
+
+ ALIAS(JEEP_TP0, JEEP_ON.Q)
+ ALIAS(JEEP_TP1, R152.2)
+ ALIAS(JEEP_TP2, R154.2)
+ ALIAS(JEEP_TP3, IC32.6)
+ ALIAS(JEEP_TP4, IC30.6)
+ ALIAS(JEEP_TP5, IC32.8)
+ ALIAS(JEEP_TP6, IC30.8)
+ ALIAS(JEEP_TP7, C59.2)
+
+ ALIAS(POINT_TP0, POINT_TRG.Q)
+ ALIAS(POINT_TP1, D5.K)
+ ALIAS(POINT_TP2, IC5.2)
+ ALIAS(POINT_TP3, IC5.2)
+ ALIAS(POINT_TP4, C15.2)
+ ALIAS(POINT_TP5, R130.1)
+ ALIAS(POINT_TP6, TR13.B)
+ ALIAS(POINT_TP7, IC18.TRIG)
+ ALIAS(POINT_TP8, TR14.B)
+ ALIAS(POINT_TP9, IC19.TRIG)
+ ALIAS(POINT_TP10, TR4.B)
+ ALIAS(POINT_TP11, IC13.TRIG)
+ ALIAS(POINT_TP12, C13.1)
+
+ ALIAS(HIT_TP0, HIT_TRG.Q)
+ ALIAS(HIT_TP1, D6.K)
+ ALIAS(HIT_TP2, IC5.6)
+ ALIAS(HIT_TP3, C17.2)
+ ALIAS(HIT_TP4, TR12.B)
+ ALIAS(HIT_TP5, R122.1)
+ ALIAS(HIT_TP6, TR11.B)
+ ALIAS(HIT_TP7, R70.1)
+ ALIAS(HIT_TP8, C14.1)
+
+ ALIAS(RST_TP1, RST)
+
+ ALIAS(ANI_TP0, ANIMAL_TRG.Q)
+ ALIAS(ANI_TP1, R110.1)
+ ALIAS(ANI_TP2, D4.K)
+ ALIAS(ANI_TP3, IC4.6)
+ ALIAS(ANI_TP4, C11.2)
+ ALIAS(ANI_TP5, TR15.B)
+ ALIAS(ANI_TP6, R44.2)
+ ALIAS(ANI_TP7, TR1.B)
+ ALIAS(ANI_TP8, IC1.TRIG)
+ ALIAS(ANI_TP9, R11.1)
+ ALIAS(ANI_TP10, TR8.B)
+ ALIAS(ANI_TP11, IC13.TRIG)
+ ALIAS(ANI_TP12, TR3.B)
+ ALIAS(ANI_TP13, IC7.TRIG)
+
+ ALIAS(EMAR_TP0, EMAR_TRG.Q)
+ ALIAS(EMAR_TP1, D3.K)
+ ALIAS(EMAR_TP2, IC4.2)
+ ALIAS(EMAR_TP3, C9.2)
+ ALIAS(EMAR_TP4, TR2.B)
+ ALIAS(EMAR_TP5, R113.1)
+ ALIAS(EMAR_TP6, R63.1)
+ ALIAS(EMAR_TP7, TR10.B)
+ ALIAS(EMAR_TP8, IC15.TRIG)
+ ALIAS(EMAR_TP9, IC15.OUT)
+ ALIAS(EMAR_TP10, TR9.B)
+ ALIAS(EMAR_TP11, R24.1)
+
+ ALIAS(WALK_TP0, WALK_TRG.Q)
+ ALIAS(WALK_TP1, R137.2)
+ ALIAS(WALK_TP2, C3.2)
+
+ ALIAS(CRY_TP0, CRY_TRG.Q)
+ ALIAS(CRY_TP1, D2.K)
+ ALIAS(CRY_TP2, IC20.6)
+ ALIAS(CRY_TP3, C27.2)
+ ALIAS(CRY_TP4, TR7.B)
+ ALIAS(CRY_TP5, R100.1)
+ ALIAS(CRY_TP6, R46.1)
+ ALIAS(CRY_TP7, TR6.B)
+ ALIAS(CRY_TP8, IC11.TRIG)
+ ALIAS(CRY_TP9, TR5.B)
+ ALIAS(CRY_TP10, IC10.TRIG)
+
+ ALIAS(TEST_POINT, SOUND_OUT)
+/**/
+NETLIST_END()
+
+
+
+
+#if 0
+RES(R, RES_K())
+RES(R, RES_K())
+RES(R, RES_K())
+RES(R, RES_K())
+
+CAP(C, CAP_U())
+CAP(C, CAP_U())
+CAP(C, CAP_U())
+CAP(C, CAP_U())
+
+NET_C(, )
+NET_C(, )
+NET_C(, )
+NET_C(, )
+NET_C(, )
+
+C458(TR)
+MA150(D)
+#endif
+
+#if 0
+~/mame/src/lib/netlist/devices/nld_ne555.cpp
+NE555()
+ * +--------------+
+ * .GND/*1*/ |1 ++ 8| .VCC/*8*/
+ * .TRIG/*2*/ |2 7| .DISCH/*7*/
+ * .OUT/*3*/ |3 6| .THRESH/*6*/
+ * .RESET/*4*/ |4 NE555 5| .CONT/*5*/
+ * +--------------+
+NE555(IC)
+NET_C(GND, IC.GND/*1*/)
+NET_C(V5, IC.VCC/*8*/)
+
+TMP_(IC.TRIG/*2*/)
+TMP_(IC.OUT/*3*/)
+TMP_(IC.RESET/*4*/)
+TMP_(IC.CONT/*5*/)
+TMP_(IC.THRESH/*6*/)
+TMP_(IC.DISCH/*7*/)
+#endif
+
+#if 0
+~/mame/src/lib/netlist/devices/nld_74123.cpp
+TTL_74123_DIP()
+ * +--------------+
+ * .1/*A1*/ |1 ++ 16| .16/*VCC*/
+ * .2/*B1*/ |2 15| .15/*RC1*/
+ * .3/*CLRQ1*/ |3 14| .14/*C1*/
+ * .4/*QQ1*/ |4 74123 13| .13/*Q1*/
+ * .5/*Q2*/ |5 12| .12/*QQ2*/
+ * .6/*C2*/ |6 11| .11/*CLRQ2*/
+ * .7/*RC2*/ |7 10| .10/*B2*/
+ * .8/*GND*/ |8 9| .9/*A2*/
+ * +--------------+
+TTL_74123_DIP(IC) // shared by _, _
+NET_C(GND, IC.8/*GND*/)
+NET_C(V5, IC.16/*VCC*/)
+
+NC_(IC.1/*A1*/)
+TMP_(IC.2/*B1*/)
+TMP_(IC.3/*CLRQ1*/)
+NC_(IC.4/*QQ1*/)
+TMP_(IC.13/*Q1*/)
+TMP_(IC.14/*C1*/)
+TMP_(IC.15/*RC1*/)
+
+NC_(IC.5/*Q2*/)
+TMP_(IC.6/*C2*/)
+TMP_(IC.7/*RC2*/)
+TMP_(IC.9/*A2*/)
+TMP_(IC.10/*B2*/)
+TMP_(IC.11/*CLRQ2*/)
+NC_(IC.12/*QQ2*/)
+#endif
+
+#if 0
+~/mame/src/lib/netlist/macro/nlm_opamp_lib.cpp
+LM324_DIP()
+OPAMP(A, "LM324")
+ * +--------------+
+ * .1/*OUT1*/ |1 ++ 14| .14/*OUT4*/
+ * .2/*MINUS1*/ |2 13| .13/*MINUS4*/
+ * .3/*PLUS1*/ |3 12| .12/*PLUS4*/
+ * .4/*VCC*/ |4 11| .11/*GND*/
+ * .5/*PLUS2*/ |5 10| .10/*PLUS3*/
+ * .6/*MINUS2*/ |6 9| .9/*MINUS3*/
+ * .7/*OUT2*/ |7 LM324 8| .8/*OUT3*/
+ * +--------------+
+LM324_DIP(IC) // shared by _, _, _, _
+NET_C(GND, IC.11/*GND*/)
+NET_C(V12, IC.4/*VCC*/)
+
+NC_(IC.1/*OUT1*/)
+TMP_(IC.3/*PLUS1*/)
+TMP_(IC.2/*MINUS1*/)
+
+NC_(IC.7/*OUT2*/ )
+TMP_(IC.5/*PLUS2*/)
+TMP_(IC.6/*MINUS2*/)
+
+NC_(IC.8/*OUT3*/)
+TMP_(IC.10/*PLUS3*/)
+TMP_(IC.9/*MINUS3*/)
+
+NC_(IC.14/*OUT4*/)
+TMP_(IC.12/*PLUS4*/)
+TMP_(IC.13/*MINUS4*/)
+#endif
+
+#if 0
+WARNING: fake implementation for MB4391 based on guesses only
+MB4391_DIP
+MB4391(A)
+ * +--------------+
+ * .1/*IN1*/ |1 ++ 16| .16/*VCC1*/
+ * .2/*CON1*/ |2 15| .15/*OUT1*/
+ * .3/*GND1*/ |3 14| .14/*RO1*/
+ * |4 MB4391 13|
+ * .5/*IN2*/ |5 12| .12/*VCC2*/
+ * .6/*CON2*/ |6 11| .11/*OUT2*/
+ * .7/*GND2*/ |7 10| .10/*RO2*/
+ * |8 9|
+ * +--------------+
+MB4391_DIP(IC) // shared by _, _
+NET_C(GND, IC.3/*GND1*/, IC.7/*GND2*/)
+NET_C(V5, IC.16/*VCC1*/, IC.12/*VCC2*/)
+
+TMP_(IC.1/*IN1*/)
+TMP_(IC.2/*CON1*/)
+TMP_(IC.14/*RO1*/)
+TMP_(IC.15/*OUT1*/)
+
+TMP_(IC.5/*IN2*/)
+TMP_(IC.6/*CON2*/)
+TMP_(IC.10/*RO2*/)
+TMP_(IC.11/*OUT2*/)
+#endif
+
+#if 0
+~/mame/src/lib/netlist/devices/nld_7474.cpp
+TTL_7474_DIP() - have to use pin numbers... why?
+ * +--------------+
+ * .1/*CLR1*/ |1 ++ 14| .14/*VCC*/
+ * .2/*D1*/ |2 13| .13/*CLR2*/
+ * .3/*CLK1*/ |3 12| .12/*D2*/
+ * .4/*PR1*/ |4 7474 11| .11/*CLK2*/
+ * .5/*Q1*/ |5 10| .10/*PR2*/
+ * .6/*QQ1*/ |6 9| .9/*Q2*/
+ * .7/*GND*/ |7 8| .8/*QQ2*/
+ * +--------------+
+#endif
+
+#if 0
+~/mame/src/lib/netlist/devices/nld_mm5837.cpp
+MM5837()
+ * +--------------+
+ * .VDD/*1*/ |1 ++ 8| .NC
+ * .VGG/*2*/ |2 7| .NC
+ * .OUT/*3*/ |3 6| .NC
+ * .VSS/*4*/ |4 MM5837 5| .NC
+ * +--------------+
+#endif
+
+#if 0
+~/mame/src/lib/netlist/macro/nlm_ttl74xx_lib.cpp
+TTL_7408_DIP()
+TTL_7408_AND(A)
+ * +--------------+
+ * .1/*A1*/ |1 ++ 14| .14/*VCC*/
+ * .2/*B1*/ |2 13| .13/*B4*/
+ * .3/*Q1*/ |3 12| .12/*A4*/
+ * .4/*A2*/ |4 7408 11| .11/*Q4*/
+ * .5/*B2*/ |5 10| .10/*B3*/
+ * .6/*Q2*/ |6 9| .9/*A3*/
+ * .7/*GND*/ |7 8| .8/*Q3*/
+ * +--------------+
+#endif
+
+#if 0
+TTL_7416_DIP()
+TTL_7416_GATE(A)
+ * +--------------+
+ * .1/*A1*/ |1 ++ 14| .14/*VCC*/
+ * .2/*Q1*/ |2 13| .13/*A6*/
+ * .3/*A2*/ |3 12| .12/*Q6*/
+ * .4/*Q2*/ |4 7416 11| .11/*A5*/
+ * .5/*A3*/ |5 10| .10/*Q5*/
+ * .6/*Q3*/ |6 9| .9/*A4*/
+ * .7/*GND*/ |7 8| .8/*Q4*/
+ * +--------------+
+#endif
+
diff --git a/src/mame/audio/nl_brdrline.h b/src/mame/audio/nl_brdrline.h
new file mode 100644
index 00000000000..66317699377
--- /dev/null
+++ b/src/mame/audio/nl_brdrline.h
@@ -0,0 +1,11 @@
+// license:CC0
+// copyright-holders: beta-tester (https://github.com/beta-tester)
+
+#ifndef MAME_AUDIO_NL_BRDRLINE_H
+#define MAME_AUDIO_NL_BRDRLINE_H
+
+#pragma once
+
+NETLIST_EXTERNAL(brdrline)
+
+#endif // MAME_AUDIO_NL_BRDRLINE_H
diff --git a/src/mame/audio/vicdual.cpp b/src/mame/audio/vicdual.cpp
index b3bd59c288f..01a4482f60b 100644
--- a/src/mame/audio/vicdual.cpp
+++ b/src/mame/audio/vicdual.cpp
@@ -1,5 +1,5 @@
// license:BSD-3-Clause
-// copyright-holders:Derrick Renaud, Couriersud
+// copyright-holders:Derrick Renaud, Couriersud, Aaron Giles
/*************************************************************************
VIC Dual Game board
@@ -9,6 +9,8 @@
#include "emu.h"
#include "includes/vicdual.h"
+#include "audio/nl_brdrline.h"
+
/************************************************************************
* frogs Sound System Analog emulation
@@ -479,104 +481,7 @@ void vicdual_state::invho2_audio_w(uint8_t data)
}
-/************************************************************************
- * brdrline Sound System Analog emulation
- * May 2006, Derrick Renaud
- ************************************************************************/
-#if 0
-
-
-/* Discrete Sound Input Nodes */
-#define BRDRLINE_GUN_TRG_EN NODE_01
-#define BRDRLINE_JEEP_ON_EN NODE_02
-#define BRDRLINE_POINT_TRG_EN NODE_03
-#define BRDRLINE_HIT_TRG_EN NODE_04
-#define BRDRLINE_ANIMAL_TRG_EN NODE_05
-#define BRDRLINE_EMAR_TRG_EN NODE_06
-#define BRDRLINE_WALK_TRG_EN NODE_07
-#define BRDRLINE_CRY_TRG_EN NODE_08
-
-/* Nodes - Sounds */
-#define BRDRLINE_GUN_TRG_SND NODE_91
-#define BRDRLINE_JEEP_ON_SND NODE_92
-#define BRDRLINE_POINT_TRG_SND NODE_93
-#define BRDRLINE_HIT_TRG_SND NODE_94
-#define BRDRLINE_ANIMAL_TRG_SND NODE_95
-#define BRDRLINE_EMAR_TRG_SND NODE_96
-#define BRDRLINE_WALK_TRG_SND NODE_97
-#define BRDRLINE_CRY_TRG_SND NODE_98
-
-DISCRETE_SOUND_START(brdrline_discrete)
- /************************************************
- * Input register mapping
- ************************************************/
- DISCRETE_INPUT_LOGIC(BRDRLINE_GUN_TRG_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_JEEP_ON_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_POINT_TRG_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_HIT_TRG_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_ANIMAL_TRG_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_EMAR_TRG_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_WALK_TRG_EN)
- DISCRETE_INPUT_LOGIC(BRDRLINE_CRY_TRG_EN)
-
- /************************************************
- * GUN TRG
- ************************************************/
- DISCRETE_LFSR_NOISE(NODE_10, 1, 1,CLK,AMPL,FEED,BIAS,LFSRTB)
- DISCRETE_MIXER2(NODE_11, 1, NODE_10,IN1,INFO)
- DISCRETE_FILTER2(NODE_12, 1, NODE_11,FREQ,DAMP,TYPE)
- DISCRETE_ONESHOT(NODE_13, BRDRLINE_GUN_TRG_EN, DEFAULT_TTL_V_LOGIC_1,
- TIME_OF_74LS123(RES_K(47), CAP_U(1)), // R155, C73
- DISC_ONESHOT_FEDGE | DISC_ONESHOT_RETRIG | DISC_OUT_ACTIVE_LOW)
- DISCRETE_RCDISC4(NODE_14, 1, NODE_13,RVAL0,RVAL1,RVAL2,CVAL,VP,TYPE)
- DISCRETE_VCA(BRDRLINE_GUN_TRG_SND, 1, NODE_12, NODE_14,TYPE)
-
- /************************************************
- * JEEP ON
- ************************************************/
- DISCRETE_555_ASTABLE(NODE_20, BRDRLINE_JEEP_ON_EN,
- RES_K(1), // R150
- RES_K(33), // R153
- CAP_U(.1), // C72
- OPTIONS)
- DISCRETE_COUNTER(NODE_21, 1, 1, NODE_20,MIN,MAX,DIR,INIT0, DISC_CLK_BY_COUNT)
- DISCRETE_COUNTER(NODE_22, 1, 1, NODE_20,MIN,MAX,DIR,INIT0, DISC_CLK_BY_COUNT)
- DISCRETE_TRANSFORM3(NODE,INP0,INP1,INP2,FUNCT)
- DISCRETE_DAC_R1(NODE,DATA,VDATA,LADDER)
-
- /************************************************
- * POINT TRG
- ************************************************/
-
- /************************************************
- * HIT TRG
- ************************************************/
-
- /************************************************
- * ANIMAL TRG
- ************************************************/
-
- /************************************************
- * EMAR TRG
- ************************************************/
-
- /************************************************
- * WALK TRG
- ************************************************/
-
- /************************************************
- * CRY TRG
- ************************************************/
-
- /************************************************
- * Mixer
- ************************************************/
-
- DISCRETE_OUTPUT(NODE_90, 1)
-
-DISCRETE_SOUND_END
-#endif
-
+/*
static const char *const brdrline_sample_names[] =
{
"*brdrline",
@@ -630,3 +535,55 @@ void vicdual_state::brdrline_audio_aux_w(uint8_t data)
else // boot sample
m_samples->start(0, 0);
}
+*/
+
+
+
+/*************************************
+ *
+ * Borderline
+ *
+ *************************************/
+
+borderline_audio_device::borderline_audio_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) :
+ device_t(mconfig, BORDERLINE_AUDIO, tag, owner, clock),
+ device_mixer_interface(mconfig, *this),
+ m_input_line(*this, "sound_nl:in_%u", 0)
+{
+}
+
+void borderline_audio_device::device_add_mconfig(machine_config &config)
+{
+ NETLIST_SOUND(config, "sound_nl", 48000)
+ .set_source(NETLIST_NAME(brdrline))
+ .add_route(ALL_OUTPUTS, *this, 1.0);
+
+ NETLIST_LOGIC_INPUT(config, m_input_line[7], "GUN_TRG.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[6], "JEEP_ON.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[5], "POINT_TRG.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[4], "HIT_TRG.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[3], "WALK_TRG.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[2], "EMAR_TRG.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[1], "CRY_TRG.IN", 0);
+ NETLIST_LOGIC_INPUT(config, m_input_line[0], "ANIMAL_TRG.IN", 0);
+
+ NETLIST_STREAM_OUTPUT(config, "sound_nl:cout0", 0, "OUTPUT").set_mult_offset(1.0, 0.0);
+}
+
+void borderline_audio_device::device_start()
+{
+ save_item(NAME(m_input_state));
+}
+
+void borderline_audio_device::write(u8 value)
+{
+ if (value != m_input_state)
+ {
+ m_input_state = value;
+ for (int index = 0; index < 8; index++)
+ if (m_input_line[index] != nullptr)
+ m_input_line[index]->write_line(BIT(m_input_state, index));
+ }
+}
+
+DEFINE_DEVICE_TYPE(BORDERLINE_AUDIO, borderline_audio_device, "borderline_audio", "Borderline Sound Board")
diff --git a/src/mame/audio/vicdual.h b/src/mame/audio/vicdual.h
new file mode 100644
index 00000000000..ab8aa45086e
--- /dev/null
+++ b/src/mame/audio/vicdual.h
@@ -0,0 +1,30 @@
+// license:BSD-3-Clause
+// copyright-holders:Aaron Giles
+#ifndef MAME_AUDIO_VICDUAL_H
+#define MAME_AUDIO_VICDUAL_H
+
+#pragma once
+
+#include "machine/netlist.h"
+#include "netlist/nl_setup.h"
+
+
+class borderline_audio_device : public device_t, public device_mixer_interface
+{
+public:
+ borderline_audio_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock);
+
+ virtual void device_add_mconfig(machine_config &config) override;
+ virtual void device_start() override;
+
+ void write(u8 data);
+
+private:
+ optional_device_array<netlist_mame_logic_input_device, 8> m_input_line;
+ u8 m_input_state = 0xff;
+};
+
+
+DECLARE_DEVICE_TYPE(BORDERLINE_AUDIO, borderline_audio_device)
+
+#endif // MAME_AUDIO_VICDUAL_H
diff --git a/src/mame/drivers/vicdual.cpp b/src/mame/drivers/vicdual.cpp
index 67d786dc0b6..88e8514a2e2 100644
--- a/src/mame/drivers/vicdual.cpp
+++ b/src/mame/drivers/vicdual.cpp
@@ -1168,11 +1168,11 @@ void carnival_state::carnival_io_w(offs_t offset, uint8_t data)
void vicdual_state::brdrline_io_w(offs_t offset, uint8_t data)
{
- if (offset & 0x01) brdrline_audio_w(data);
+ if (offset & 0x01) m_borderline_sound->write(data);
if (offset & 0x02)
{
palette_bank_w(data);
- brdrline_audio_aux_w(data);
+// brdrline_audio_aux_w(data);
}
if (offset & 0x08) assert_coin_status();
}
@@ -2279,7 +2279,7 @@ void vicdual_state::brdrline(machine_config &config)
/* audio hardware */
SPEAKER(config, "mono").front_center();
- brdrline_audio(config);
+ BORDERLINE_AUDIO(config, "borderline_sound", 0).add_route(ALL_OUTPUTS, "mono", 1.0);
}
@@ -4032,11 +4032,11 @@ GAME( 1980, carnivalc, carnival, carnival, carnivalc, carnival_state, empty_in
GAME( 1980, carnivalh, carnival, carnivalh, carnivalh, carnival_state, empty_init, ROT270, "Sega", "Carnival (Head On hardware, set 1)", MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE )
GAME( 1980, carnivalha, carnival, carnivalh, carnivalh, carnival_state, empty_init, ROT270, "Sega", "Carnival (Head On hardware, set 2)", MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE )
GAME( 1980, verbena, carnival, carnival, carnival, carnival_state, empty_init, ROT270, "bootleg (Cocamatic)", "Verbena (bootleg of Carnival)", MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE )
-GAME( 1981, brdrline, 0, brdrline, brdrline, vicdual_state, empty_init, ROT270, "Sega", "Borderline", MACHINE_NO_SOUND | MACHINE_SUPPORTS_SAVE )
-GAME( 1981, starrkr, brdrline, brdrline, starrkr, vicdual_state, empty_init, ROT270, "Sega", "Star Raker", MACHINE_NO_SOUND | MACHINE_SUPPORTS_SAVE )
-GAME( 1981, brdrlins, brdrline, brdrline, brdrline, vicdual_state, empty_init, ROT270, "bootleg (Sidam)", "Borderline (Sidam bootleg)", MACHINE_NO_SOUND | MACHINE_SUPPORTS_SAVE )
-GAME( 1981, brdrlinb, brdrline, brdrline, brdrline, vicdual_state, empty_init, ROT270, "bootleg (Karateco)", "Borderline (Karateco bootleg)", MACHINE_NO_SOUND | MACHINE_SUPPORTS_SAVE )
-GAME( 1981, brdrlinet, brdrline, tranqgun, tranqgun, vicdual_state, empty_init, ROT270, "Sega", "Borderline (Tranquillizer Gun conversion)", MACHINE_NOT_WORKING | MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE ) // official factory conversion
+GAME( 1981, brdrline, 0, brdrline, brdrline, vicdual_state, empty_init, ROT270, "Sega", "Borderline", MACHINE_SUPPORTS_SAVE )
+GAME( 1981, starrkr, brdrline, brdrline, starrkr, vicdual_state, empty_init, ROT270, "Sega", "Star Raker", MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE )
+GAME( 1981, brdrlins, brdrline, brdrline, brdrline, vicdual_state, empty_init, ROT270, "bootleg (Sidam)", "Borderline (Sidam bootleg)", MACHINE_SUPPORTS_SAVE )
+GAME( 1981, brdrlinb, brdrline, brdrline, brdrline, vicdual_state, empty_init, ROT270, "bootleg (Karateco)", "Borderline (Karateco bootleg)", MACHINE_SUPPORTS_SAVE )
+GAME( 1981, brdrlinet, brdrline, tranqgun, tranqgun, vicdual_state, empty_init, ROT270, "Sega", "Borderline (Tranquillizer Gun conversion)", MACHINE_NOT_WORKING | MACHINE_SUPPORTS_SAVE ) // official factory conversion
GAME( 198?, startrks, 0, headons, headons, vicdual_state, empty_init, ROT0, "bootleg (Sidam)", "Star Trek (Head On hardware)", MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE )
GAME( 1980, digger, 0, digger, digger, vicdual_state, empty_init, ROT270, "Sega", "Digger", MACHINE_NO_SOUND | MACHINE_SUPPORTS_SAVE )
GAME( 1981, pulsar, 0, pulsar, pulsar, vicdual_state, empty_init, ROT270, "Sega", "Pulsar", MACHINE_IMPERFECT_SOUND | MACHINE_SUPPORTS_SAVE )
diff --git a/src/mame/includes/vicdual.h b/src/mame/includes/vicdual.h
index cb887834a8d..0c00c712f0a 100644
--- a/src/mame/includes/vicdual.h
+++ b/src/mame/includes/vicdual.h
@@ -15,6 +15,7 @@
#include "sound/samples.h"
#include "sound/volt_reg.h"
#include "screen.h"
+#include "audio/vicdual.h"
#include "audio/vicdual-97271p.h"
#include "video/vicdual-97269pb.h"
@@ -29,6 +30,7 @@ public:
m_coinstate_timer(*this, "coinstate"),
m_nsub_coinage_timer(*this, "nsub_coin"),
m_screen(*this, "screen"),
+ m_borderline_sound(*this, "borderline_sound"),
m_proms(*this, "proms"),
m_videoram(*this, "videoram"),
m_characterram(*this, "characterram"),
@@ -61,7 +63,6 @@ public:
void headon2bw(machine_config &config);
void safari(machine_config &config);
void brdrline(machine_config &config);
- void brdrline_audio(machine_config &config);
void samurai(machine_config &config);
void sspaceat(machine_config &config);
void digger(machine_config &config);
@@ -88,6 +89,7 @@ protected:
required_device<timer_device> m_coinstate_timer;
optional_device<timer_device> m_nsub_coinage_timer;
required_device<screen_device> m_screen;
+ optional_device<borderline_audio_device> m_borderline_sound;
optional_memory_region m_proms;
required_shared_ptr<uint8_t> m_videoram;
@@ -149,8 +151,6 @@ protected:
void frogs_audio_w(uint8_t data);
void headon_audio_w(uint8_t data);
void invho2_audio_w(uint8_t data);
- void brdrline_audio_w(uint8_t data);
- void brdrline_audio_aux_w(uint8_t data);
TIMER_CALLBACK_MEMBER( frogs_croak_callback );
/*----------- defined in audio/depthch.cpp -----------*/
diff --git a/src/mame/nl.lst b/src/mame/nl.lst
index ce1ddf052ff..8c199c4b74c 100644
--- a/src/mame/nl.lst
+++ b/src/mame/nl.lst
@@ -364,6 +364,13 @@ startrek // (c) 1982
tacscan // (c) 1982
zektor // (c) 1982
+@source:vicdual.cpp
+brdrlinb // Karateco bootleg
+brdrline // (c) 1980 Sega
+brdrlinet // (c) 1981 Sega
+brdrlins // Sidam bootleg
+starrkr // (c) 1981 Sega
+
@source:zaxxon.cpp
congo // 605-5167 (c) 1983 (2 board stack)
congoa // 605-5167 (c) 1983 (3 board stack)