summaryrefslogtreecommitdiffstatshomepage
path: root/src/mess/machine
diff options
context:
space:
mode:
author Wilbert Pol <wilbertpol@users.noreply.github.com>2015-07-30 12:17:15 +0200
committer Wilbert Pol <wilbertpol@users.noreply.github.com>2015-07-30 12:17:47 +0200
commit4f42cd81dabcf2b25792428c6c023a1cf24d7319 (patch)
tree51ebfd9437675ddcb9bbfcf41ae15c2e940b7086 /src/mess/machine
parenta2c42916909303b2885fa352652312466eca0f64 (diff)
v9938: added clock to device configuration. (nw)
Diffstat (limited to 'src/mess/machine')
-rw-r--r--src/mess/machine/ti99/videowrp.h2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/mess/machine/ti99/videowrp.h b/src/mess/machine/ti99/videowrp.h
index 3de151e92ff..c38a8e7f152 100644
--- a/src/mess/machine/ti99/videowrp.h
+++ b/src/mess/machine/ti99/videowrp.h
@@ -165,7 +165,7 @@ protected:
#define MCFG_TI_V9938_ADD(_tag, _rate, _screen, _blank, _x, _y, _class, _int) \
MCFG_DEVICE_ADD(_tag, V9938VIDEO, 0) \
- MCFG_V9938_ADD(VDP_TAG, _screen, 0x20000) \
+ MCFG_V9938_ADD(VDP_TAG, _screen, 0x20000, XTAL_21_4772MHz) /* typical 9938 clock, not verified */ \
MCFG_V99X8_INTERRUPT_CALLBACK(WRITELINE(_class, _int)) \
MCFG_SCREEN_ADD(_screen, RASTER) \
MCFG_SCREEN_REFRESH_RATE(_rate) \