summaryrefslogtreecommitdiffstatshomepage
path: root/src/mess/machine
diff options
context:
space:
mode:
author Richard Goedeken <Richard@fascinationsoftware.com>2015-08-04 22:46:11 -0700
committer Richard Goedeken <Richard@fascinationsoftware.com>2015-08-04 22:46:11 -0700
commit039973b6d8e326b844693ffe0abb3053e6768e1d (patch)
treece763b5a269b165bd9e5c77bbb389495242db3bd /src/mess/machine
parent43978837c51ed41ecdcaaf0deeaca3a6382c5875 (diff)
bugfix: PIA 1 CB2 is only connected to the sound enable input on the DAC chip, so there is no need to poll the keyboard/joystick here
Diffstat (limited to 'src/mess/machine')
-rw-r--r--src/mess/machine/coco.c1
1 files changed, 0 insertions, 1 deletions
diff --git a/src/mess/machine/coco.c b/src/mess/machine/coco.c
index fc597f79951..e281197b37c 100644
--- a/src/mess/machine/coco.c
+++ b/src/mess/machine/coco.c
@@ -538,7 +538,6 @@ WRITE_LINE_MEMBER( coco_state::pia1_ca2_w )
WRITE_LINE_MEMBER( coco_state::pia1_cb2_w )
{
update_sound(); // SOUND_ENABLE is connected to PIA1 CB2
- poll_keyboard();
}