summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame
diff options
context:
space:
mode:
author Ivan Vangelista <mesgnet@yahoo.it>2022-05-19 19:31:46 +0200
committer Ivan Vangelista <mesgnet@yahoo.it>2022-05-19 19:31:46 +0200
commit299aa2eda394f50bef88cf6a7ef253c96dbf08ab (patch)
treeeb21ee8de6ed0ff9516d27e7c84a0388d6c80729 /src/mame
parent83ce568f51f182779f307241c28db657371d6045 (diff)
New clones marked as NOT_WORKING
-------------------------------- The House of the Dead (Revision A) [egregiousguy] - seta.cpp: added hardware info for Caliber 50 [Guru] - snk.cpp: added hardware info for Ikari Warriors [Guru]
Diffstat (limited to 'src/mame')
-rw-r--r--src/mame/drivers/model2.cpp58
-rw-r--r--src/mame/drivers/seta.cpp131
-rw-r--r--src/mame/drivers/snk.cpp108
-rw-r--r--src/mame/mame.lst3
4 files changed, 265 insertions, 35 deletions
diff --git a/src/mame/drivers/model2.cpp b/src/mame/drivers/model2.cpp
index 0c1741bf3c8..3f68bfe673e 100644
--- a/src/mame/drivers/model2.cpp
+++ b/src/mame/drivers/model2.cpp
@@ -4754,7 +4754,60 @@ ROM_START( segawski ) /* Sega Water Ski Revision A, Model 2C, Sega Game ID# 833-
ROM_LOAD16_WORD_SWAP("mpr-19989.34", 0x400000, 0x400000, CRC(8074a4b3) SHA1(98dc1d122ffb9b5c52994dea2b5d8c4f004a5f8e) )
ROM_END
-ROM_START( hotd ) /* House of the Dead, Model 2C, Sega Game ID# 610-0396-13054, ROM board ID# 834-13055 */
+ROM_START( hotd ) /* House of the Dead, Model 2C, Main board ID# 837-12469-01 */
+ ROM_REGION( 0x200000, "maincpu", 0 ) // i960 program
+ ROM_LOAD32_WORD("epr-19696a.15", 0x000000, 0x080000, CRC(42adc32e) SHA1(92931e8339dbba23d7ade178fcc56d37291411cb) )
+ ROM_LOAD32_WORD("epr-19697a.16", 0x000002, 0x080000, CRC(1e247cd5) SHA1(693e929d543bad880ea69d781155949f0aa246de) )
+ ROM_LOAD32_WORD("epr-19694.13", 0x100000, 0x080000, CRC(e85ca1a3) SHA1(3d688be98f78fe40c2af1e91df6decd500400ae9) )
+ ROM_LOAD32_WORD("epr-19695.14", 0x100002, 0x080000, CRC(cd52b461) SHA1(bc96ab2a4ba7f30c0b89814acc8931c8bf800a82) )
+
+ ROM_REGION32_LE( 0x2000000, "main_data", 0 ) // Data
+ ROM_LOAD32_WORD("mpr-19704.11", 0x0000000, 0x400000, CRC(aa80dbb0) SHA1(24e63f4392847f288971469cd10448536eb435d4) )
+ ROM_LOAD32_WORD("mpr-19705.12", 0x0000002, 0x400000, CRC(f906843b) SHA1(bee4f43b3ad15d93a2f9f07b873c9cf5d228e2f9) )
+ ROM_LOAD32_WORD("mpr-19702.9", 0x0800000, 0x400000, CRC(fc8aa3b7) SHA1(b64afb17d9c97277d8c4f20811f14f65a61cbb56) )
+ ROM_LOAD32_WORD("mpr-19703.10", 0x0800002, 0x400000, CRC(208d993d) SHA1(e5c45ea5621f99661a87ffe88e24764d2bbcb51e) )
+ ROM_LOAD32_WORD("mpr-19700.7", 0x1000000, 0x400000, CRC(0558cfd3) SHA1(94440839d3325176c2d03f39a78949d0ef040bba) )
+ ROM_LOAD32_WORD("mpr-19701.8", 0x1000002, 0x400000, CRC(224a8929) SHA1(933770546d46abca400e7f524eff2ae89241e56d) )
+ ROM_LOAD32_WORD("epr-19698.5", 0x1800000, 0x080000, CRC(e7a7b6ea) SHA1(77cb53f8730fdb55080b70910ab8c750d79acb02) )
+ ROM_LOAD32_WORD("epr-19699.6", 0x1800002, 0x080000, CRC(8160b3d9) SHA1(9dab483c60624dddba8085e94a4325739592ec17) )
+ ROM_COPY( "main_data", 0x1800000, 0x1900000, 0x100000 )
+ ROM_COPY( "main_data", 0x1800000, 0x1a00000, 0x100000 )
+ ROM_COPY( "main_data", 0x1800000, 0x1b00000, 0x100000 )
+ ROM_COPY( "main_data", 0x1800000, 0x1c00000, 0x100000 )
+ ROM_COPY( "main_data", 0x1800000, 0x1d00000, 0x100000 )
+ ROM_COPY( "main_data", 0x1800000, 0x1e00000, 0x100000 )
+ ROM_COPY( "main_data", 0x1800000, 0x1f00000, 0x100000 )
+
+
+ ROM_REGION32_LE( 0x800000, "copro_data", 0 ) // TGPx4 program
+ ROM_LOAD32_WORD("epr-19707.29", 0x000000, 0x080000, CRC(384fd133) SHA1(6d060378d0f801b04d12e7ee874f2fa0572992d9) )
+ ROM_LOAD32_WORD("epr-19706.30", 0x000002, 0x080000, CRC(1277531c) SHA1(08d3e733ba9989fcd32290634171c73f26ab6e2b) )
+
+ ROM_REGION( 0x2000000, "polygons", 0 ) // Models
+ ROM_LOAD32_WORD("mpr-19715.17", 0x0000000, 0x400000, CRC(3ff7dda7) SHA1(0a61b091bb0bc659f0cbca8ad401d0925a1dc2ea) )
+ ROM_LOAD32_WORD("mpr-19711.21", 0x0000002, 0x400000, CRC(080d13f1) SHA1(4167428a2a903aea2c14631ccf924afb81338b89) )
+ ROM_LOAD32_WORD("mpr-19714.18", 0x0800000, 0x400000, CRC(3e55ab49) SHA1(70b4c1627db80e6734112c02265495e2b4a53278) )
+ ROM_LOAD32_WORD("mpr-19710.22", 0x0800002, 0x400000, CRC(80df1036) SHA1(3cc59bb4910aa5382e95762f63325c06b763bd23) )
+ ROM_LOAD32_WORD("mpr-19713.19", 0x1000000, 0x400000, CRC(4d092cd3) SHA1(b6d0be283c25235249186751c7f025a7c38d2f36) )
+ ROM_LOAD32_WORD("mpr-19709.23", 0x1000002, 0x400000, CRC(d08937bf) SHA1(c92571e35960f27dc8b0b059f12167026d0666d1) )
+ ROM_LOAD32_WORD("mpr-19712.20", 0x1800000, 0x400000, CRC(41577943) SHA1(25a0d921c8662043c5860dc7a226d4895ff9fff6) )
+ ROM_LOAD32_WORD("mpr-19708.24", 0x1800002, 0x400000, CRC(5cb790f2) SHA1(d3cae450186bc62fd746b14d6a05cb397efcfe40) )
+
+ ROM_REGION( 0x1000000, "textures", 0 ) // Textures
+ ROM_LOAD32_WORD("mpr-19718.27", 0x0000000, 0x400000, CRC(a9de5924) SHA1(3ebac2aeb1467939337c9a5c87ad9c293560dae2) )
+ ROM_LOAD32_WORD("mpr-19716.25", 0x0000002, 0x400000, CRC(45c7dcce) SHA1(f602cabd879c69afee544848feafb9fb9f5d51e2) )
+ ROM_LOAD32_WORD("mpr-19719.28", 0x0800000, 0x400000, CRC(838f8343) SHA1(fe6622b5917f9a99c097fd60d9446ac6b481fa75) )
+ ROM_LOAD32_WORD("mpr-19717.26", 0x0800002, 0x400000, CRC(393e440b) SHA1(927ac9cad22f87b339cc86043678470ff139ce1f) )
+
+ ROM_REGION( 0x080000, "audiocpu", 0 ) // Sound program
+ ROM_LOAD16_WORD_SWAP("epr-19720.31", 0x000000, 0x080000, CRC(b367d21d) SHA1(1edaed489a3518ddad85728e416319f940ea02bb) )
+
+ ROM_REGION16_BE( 0x800000, "samples", 0 ) // Samples
+ ROM_LOAD16_WORD_SWAP("mpr-19721.32", 0x000000, 0x400000, CRC(f5d8fa9a) SHA1(6836973a687c59dd80f8e6c30d33155e306be199) )
+ ROM_LOAD16_WORD_SWAP("mpr-19722.34", 0x400000, 0x400000, CRC(a56fa539) SHA1(405a892bc368ba862ba71bda7525b421d6973c0e) )
+ROM_END
+
+ROM_START( hotdo ) /* House of the Dead, Model 2C, Sega Game ID# 610-0396-13054, ROM board ID# 834-13055 */
ROM_REGION( 0x200000, "maincpu", 0 ) // i960 program
ROM_LOAD32_WORD("epr-19696.15", 0x000000, 0x080000, CRC(03da5623) SHA1(be0bd34a9216375c7204445f084f6c74c4d3b0c8) )
ROM_LOAD32_WORD("epr-19697.16", 0x000002, 0x080000, CRC(a9722d87) SHA1(0b14f9a81272f79a5b294bc024711042c5fb2637) )
@@ -7248,7 +7301,8 @@ GAME( 1996, stccb, stcc, stcc, indy500, model2c_state, empty_
GAME( 1996, stcca, stcc, stcc, indy500, model2c_state, empty_init, ROT0, "Sega", "Sega Touring Car Championship (Revision A)", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
GAME( 1996, waverunr, 0, waverunr, waverunr, model2c_state, empty_init, ROT0, "Sega", "Wave Runner (Japan, Revision A)", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
GAME( 1997, bel, 0, bel, bel, model2c_state, empty_init, ROT0, "Sega / EPL Productions", "Behind Enemy Lines", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
-GAME( 1997, hotd, 0, hotd, hotd, model2c_state, empty_init, ROT0, "Sega", "The House of the Dead", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
+GAME( 1997, hotd, 0, hotd, hotd, model2c_state, empty_init, ROT0, "Sega", "The House of the Dead (Revision A)", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
+GAME( 1997, hotdo, hotd, hotd, hotd, model2c_state, empty_init, ROT0, "Sega", "The House of the Dead", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
GAME( 1997, hotdp, hotd, hotd, hotd, model2c_state, empty_init, ROT0, "Sega", "The House of the Dead (prototype)", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
GAME( 1997, overrev, 0, overrev2c, overrev, model2c_state, empty_init, ROT0, "Jaleco", "Over Rev (Model 2C, Revision A)", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
GAME( 1997, rascot2, 0, model2c, model2crx, model2c_state, empty_init, ROT0, "Sega", "Royal Ascot II", MACHINE_NOT_WORKING|MACHINE_IMPERFECT_GRAPHICS )
diff --git a/src/mame/drivers/seta.cpp b/src/mame/drivers/seta.cpp
index cc1161e58c0..9d20b48dfba 100644
--- a/src/mame/drivers/seta.cpp
+++ b/src/mame/drivers/seta.cpp
@@ -257,26 +257,93 @@ u75 131 u61 004
***************************************************************************/
/***************************************************************************
- Caliber 50
+Caliber 50 (Athena / Seta, 1989)
+Hardware info by Guru
+
+
+PCB Layout
+----------
-CPU: TMP 68000N-8, 65C02
-Other: NEC D4701
+P0-044B
+|--------------------------------------------------------------|
+| VOL 3404 2063 UH-001-013.12M UH-001-010.8M UH-001-007.4M |N
+|MB3730 3403 UH-001-012.11M UH-001-009.6M UH-001-006.2M|M
+| UH-001-011.9M UH-001-008.5M |L
+| |------| |--------| |K
+| |X1-010| |X1-002A | |
+| |------| |--------| |J
+| |--------| |H
+|J |------| |------| |X1-001A | SW2|
+|A |X1-011| |X1-012| |--------| |G
+|M |------| |------| |F
+|M UH-001-005.17E SW1|E
+|A UPD4701 65C02 8464 8464 |
+| 4584 8464 8464 |
+| 16MHz |----| |D
+| | 6 | |
+| | 8 | |C
+| X2-005(X5) X1-006 UH-002-001.3B | 0 | |
+| X1-007 UH-002-004.11B 51832 | 0 | |B
+| SW3 X1-004 LH5116 UH_001_002.7B | 0 | |
+| BAT UH_001_003.9B 51832 |----| |A
+|--------------------------------------------------------------|
+21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1
-UH-001-006 SW2 SW1
-UH-001-007
-UH-001-008 8464 68000-8
-UH-001-009 X1-002A X1-001A 8464 Uh-002-001=T01
-UH-001-010 8464 51832
-UH-001-011 8464 51832
- UH-001-002
-UH-001-012 X1-012 UH-001-003
-UH-001-013 UH-002-004-T02
- X1-011 5116-10
- BAT
- 16MHz
- X1-010 65C02 X1-006
- UH-001-005 X1-007
- 4701 X1-004
+Notes:
+ 68000 - Toshiba TMP68000N-8 in SDIP64 package. Clock 8.000MHz [16/2]
+ 65C02 - Rockwell R65C02P2. Clock 2.000MHz [16/8]
+ 8464 - Fujitsu MB8464 8kBx8-bit SRAM
+ 2063 - Toshiba TMM2063 8kBx8-bit SRAM
+ 51832 - Toshiba TC51832 32kBx8-bit Psuedo SRAM
+ LH5116 - Sharp LH5116 2kBx8-bit SRAM (battery-backed)
+ UPD4701 - NEC uPD4701 Schmitt-Triggered 12-bit Binary X-Y 2-axis Incremental Encoder Counter.
+ Used for controlling the shooting direction similar to how rotary joysticks work.
+ The original control is called a 'Loop24 Joystick' and is very specialised.
+ The joystick name 'Loop24' suggests there are 24 positions.
+ The joystick has 4 additional wires coming from the bottom; +5V, GND, Loop1, Loop2.
+ Loop1 connects to JAMMA pin 25 and Loop2 connects to JAMMA pin 26. This is basically a spinner or half of a trackball encoder.
+ The game uses only 1 axis per player. Player 1 uses inputs Xa, Xb and player 2 uses inputs Ya, Yb
+ 4584 - Toshiba TC4584 Hex Schmitt Trigger 4000-series logic chip. This is wired to the JAMMA pins 25 and 26
+ via a 10k resistor array and is used for inputting the shooting direction into the uPD4701.
+ BAT - CR2032 3V Lithium Battery with solder tags
+ HSync - 15.6250kHz. Measured on X1-007 pin 22
+ VSync - 57.4449Hz. Measured on X1-007 pin 23
+ SW1/2 - 8 position DIP Switch. Note SW1#1 and SW2#7 are hard-wired to ground and do nothing.
+ The PCB could be modified to enable them but by default the region changing does not work on the real PCB.
+ In MAME it changes the region when those switches are changed. On the real PCB the region is fixed to
+ Japan with Seta as the manufacturer. This effectively means SW1#1 and SW2#7 are always ON.
+ But even though they work in MAME, on the test screen they don't show as 'on' even when toggled in MAME
+ and the test screen just ignores it.
+ MB3730 - Fujitsu MB3730 Audio Power Amp
+ X1-010 - 16-bit PCM sound chip. Clock input 16.000MHz
+ X2-005 - Custom resistor array used for inputs
+ X1-004 - Seta custom chip marked 'X1-004' in SDIP52 package used for I/O
+ X1-006 - Seta custom chip marked 'X1-006' in SDIP64 package used for palette and pixel mixing functions
+ X1-007 - Seta custom chip marked 'X1-007' in SDIP42 package
+ RGB and H/V Sync on the JAMMA connector are tied to this chip so likely this is an RGB DAC
+ X1-001A - Seta custom graphics chip \
+ X1-002A - Seta custom graphics chip / these work together to create sprites
+ X1-011 - Seta custom chip used for graphics mixing
+ X1-012 - Seta custom chip used for tilemaps
+ 3404 - JRC3404 Dual Operational Amplifier
+ 3403 - JRC3403 Quad Operational Amplifier
+ SW3 - Push button switch for reset
+UH-001-005.17E - 23C2001 32 pin 2Mbit mask ROM (65C02 sound program)
+UH-001-012.11M \ 23C4001 32 pin 4Mbit mask ROM (X1-010 samples)
+UH-001-013.12M /
+ UH-002-001.3B \ 23C2000 40 pin 2Mbit mask ROM (main program)
+UH-002-004.11B /
+ UH_001_002.7B \ 27C512 EPROM (main program)
+ UH_001_003.9B /
+ UH-001-006.2M \
+ UH-001-007.4M |
+ UH-001-008.5M | 23C4001 32 pin 4Mbit mask ROM (sprites)
+ UH-001-009.6M /
+ UH-001-010.8M \ 23C4001 32 pin 4Mbit mask ROM (background tiles)
+ UH-001-011.9M /
+
+Note not all ROMs have IC locations but regardless, the locations that are there are under chips
+and can't be seen unless the chip is removed. Therefore all ROMs are named with x,y locations.
***************************************************************************/
/***************************************************************************
@@ -10231,28 +10298,28 @@ ROM_END
ROM_START( calibr50 )
ROM_REGION( 0x0a0000, "maincpu", 0 ) /* 68000 Code */
- ROM_LOAD16_BYTE( "uh002001.u45", 0x000000, 0x040000, CRC(eb92e7ed) SHA1(2aee8a7bce549ef7d7b35d1c248ebbdbc906e38d) )
- ROM_LOAD16_BYTE( "uh002004.u41", 0x000001, 0x040000, CRC(5a0ed31e) SHA1(d6ee7654354ac9f1dc7add1ef9f68a147b6f2953) )
- ROM_LOAD16_BYTE( "uh001003.9a", 0x080000, 0x010000, CRC(0d30d09f) SHA1(8a48511b628e85b72fda0968d813f4faebd0c418) )
- ROM_LOAD16_BYTE( "uh001002.7a", 0x080001, 0x010000, CRC(7aecc3f9) SHA1(2454d9c758fa623d4d81a9230871b67d31d16cef) )
+ ROM_LOAD16_BYTE( "uh-002-001.3b", 0x000000, 0x040000, CRC(eb92e7ed) SHA1(2aee8a7bce549ef7d7b35d1c248ebbdbc906e38d) )
+ ROM_LOAD16_BYTE( "uh-002-004.11b", 0x000001, 0x040000, CRC(5a0ed31e) SHA1(d6ee7654354ac9f1dc7add1ef9f68a147b6f2953) )
+ ROM_LOAD16_BYTE( "uh_001_003.9b", 0x080000, 0x010000, CRC(0d30d09f) SHA1(8a48511b628e85b72fda0968d813f4faebd0c418) )
+ ROM_LOAD16_BYTE( "uh_001_002.7b", 0x080001, 0x010000, CRC(7aecc3f9) SHA1(2454d9c758fa623d4d81a9230871b67d31d16cef) )
ROM_REGION( 0x04c000, "sub", 0 ) /* 65c02 Code */
- ROM_LOAD( "uh001005.u61", 0x004000, 0x040000, CRC(4a54c085) SHA1(f53ff257ce3d95f945a6befcfb61f1b570f0eafe) )
- ROM_RELOAD( 0x00c000, 0x040000 )
+ ROM_LOAD( "uh-001-005.17e", 0x004000, 0x040000, CRC(4a54c085) SHA1(f53ff257ce3d95f945a6befcfb61f1b570f0eafe) )
+ ROM_RELOAD( 0x00c000, 0x040000 )
ROM_REGION( 0x200000, "gfx1", 0 ) /* Sprites */
- ROM_LOAD16_BYTE( "uh001006.ux2", 0x000000, 0x080000, CRC(fff52f91) SHA1(fd7807e9a8dd5a88df1fcd13746b44a33adbc0fa) )
- ROM_LOAD16_BYTE( "uh001007.ux1", 0x000001, 0x080000, CRC(b6c19f71) SHA1(eb8bbaeaf4af07e178100ff16b228b537aa36272) )
- ROM_LOAD16_BYTE( "uh001008.ux6", 0x100000, 0x080000, CRC(7aae07ef) SHA1(1db666db20efce1efe5b5769b8e3c78bbf508466) )
- ROM_LOAD16_BYTE( "uh001009.ux0", 0x100001, 0x080000, CRC(f85da2c5) SHA1(d090e49b3a897729c7fb05f9386939448fe1d3d9) )
+ ROM_LOAD16_BYTE( "uh-001-006.2m", 0x000000, 0x080000, CRC(fff52f91) SHA1(fd7807e9a8dd5a88df1fcd13746b44a33adbc0fa) )
+ ROM_LOAD16_BYTE( "uh-001-007.4m", 0x000001, 0x080000, CRC(b6c19f71) SHA1(eb8bbaeaf4af07e178100ff16b228b537aa36272) )
+ ROM_LOAD16_BYTE( "uh-001-008.5m", 0x100000, 0x080000, CRC(7aae07ef) SHA1(1db666db20efce1efe5b5769b8e3c78bbf508466) )
+ ROM_LOAD16_BYTE( "uh-001-009.6m", 0x100001, 0x080000, CRC(f85da2c5) SHA1(d090e49b3a897729c7fb05f9386939448fe1d3d9) )
ROM_REGION( 0x100000, "gfx2", 0 ) /* Layer 1 */
- ROM_LOAD16_BYTE( "uh001010.u3x", 0x000000, 0x080000, CRC(f986577a) SHA1(8f6c2fca271fed21a1c04e93c3f50dc41348ae30) )
- ROM_LOAD16_BYTE( "uh001011.u50", 0x000001, 0x080000, CRC(08620052) SHA1(e2ab49dbabc139e6b276401340085ccab1ae3892) )
+ ROM_LOAD16_BYTE( "uh-001-010.8m", 0x000000, 0x080000, CRC(f986577a) SHA1(8f6c2fca271fed21a1c04e93c3f50dc41348ae30) )
+ ROM_LOAD16_BYTE( "uh-001-011.9m", 0x000001, 0x080000, CRC(08620052) SHA1(e2ab49dbabc139e6b276401340085ccab1ae3892) )
ROM_REGION( 0x100000, "x1snd", 0 ) /* Samples */
- ROM_LOAD( "uh001013.u60", 0x000000, 0x080000, CRC(09ec0df6) SHA1(57c68d05074ea4a1e133be2ce6e25c594f04a712) )
- ROM_LOAD( "uh001012.u46", 0x080000, 0x080000, CRC(bb996547) SHA1(0c8f570ef4454b10a023e0c463001c22a8cf99cd) )
+ ROM_LOAD( "uh-001-013.12m", 0x000000, 0x080000, CRC(09ec0df6) SHA1(57c68d05074ea4a1e133be2ce6e25c594f04a712) )
+ ROM_LOAD( "uh-001-012.11m", 0x080000, 0x080000, CRC(bb996547) SHA1(0c8f570ef4454b10a023e0c463001c22a8cf99cd) )
ROM_END
ROM_START( arbalest )
diff --git a/src/mame/drivers/snk.cpp b/src/mame/drivers/snk.cpp
index b06b3f4ad70..5d27bf1f398 100644
--- a/src/mame/drivers/snk.cpp
+++ b/src/mame/drivers/snk.cpp
@@ -344,6 +344,114 @@ Notes:
JP* - 2x 2-pin jumper to set ROM sizes 1M/512K for ROMs 2J-2T. Jumper is set to 512K
+Ikari Warriors (SNK 1986) - older 22-way version
+Hardware info by Guru
+
+Top Board
+---------
+A5004UP03-04
+|-------------------------------------------------------|
+|CN8 4584 4532 4532 PST518 1 3 |-|
+| 4584 4532 4532 4584 | |
+|CN7 4584 4584 4071 4071 2 A5004-3 | |
+| | |
+| | |
+| | |
+| |-|
+| |
+|2 DIP1 |
+|2 DIP2 8MHz |
+|W |
+|A Z80A P2 P1 |-|
+|Y 4559 | |
+| 4559 A5004-2 | |
+| YM3014 P5 P6 Z80A(1) | |
+|CN5 4559 Z80A | |
+|M51516 4559 YM3526 P4 P3 | |
+| VOL VOL YM3014 YM3526 2016 |-|
+|-------------------------------------------------------|
+Notes:
+ CN7/8 - Rotary joystick connectors
+ M51516 - Mitsubishi M51516 Power AMP
+ DIP1/2 - 8-position DIP switches
+ 1,2,3 - Fujitsu MB7122 or Signetics 82S137 Bi-Polar PROMs
+ A5004* - PALs
+ 4559 - NEC uPC4559 Dual Operational Amplifier
+ YM3014 - Yamaha YM3014 DAC. Clock 1.000MHz
+ YM3526 - Yamaha YM3526 FM Operator Type-L (OPL) Sound Chip. Clock 4.000MHz [8/2]
+ 2016 - 2kBx8-bit SRAM
+ CN5 - 2 pin power connector joining to middle board
+ P1-P4 - 27C128/27C256 EPROMs (main/sub program)
+ P5-P6 - 27C128/27C256 EPROMs (sound program)
+ Z80A(1) - Z80 sound CPU. Clock 4.000MHz [8/2]
+ Z80A - Z80 main and sub CPU. Clock 3.350MHz [13.4/4, source is OSC on bottom board]
+
+
+Middle Board
+------------
+A5004UP02-01
+ |-------------------------------------------------------|
+ | 6116 2018 2018 |-|
+ | 2018 2018 | |
+ | | |
+ | | |
+ | | |
+|-| P7 P8 P9 P10 | |
+| | |-|
+| | |
+| | CN5 |
+| | |
+| | |
+| | |-|
+|-| | |
+ | | |
+ | | |
+ | | |
+ |CN6 6116 6116 A5004-4 | |
+ | 6116 6116 |-|
+ |-------------------------------------------------------|
+Notes:
+ CN5/6 - Auxiliary power input connectors
+ P7 - 27C128 EPROM (text layer tiles)
+ P8-P10 - 27C256 EPROMs (16x16 tiles)
+ 6116 - 2kBx8-bit SRAM
+ 2018 - Toshiba TMM2018 2kBx8-bit SRAM
+ A5004-4 - PAL
+
+
+Video Board
+-----------
+A5004UP01-02
+ |-------------------------------------------------------|
+ | 13.4MHz |-|
+ | | |
+ | | |
+ | 6116 6116 | |
+ | | |
+|-| A5004-1 | |
+| | |-|
+| | |
+| | CN4 |
+| | |
+| | 6116 6116 |
+| | |-|
+|-| P13 P12 P11 P17 P19 | |
+ | | |
+ | | |
+ | P16 P15 P14 P18 P20 | |
+ | | |
+ | |-|
+ |-------------------------------------------------------|
+Notes:
+ CN4 - Auxiliary power input connector joining to middle board
+ P11-P16 - 27C256 EPROMs (32x32 tiles)
+ P17-P20 - 27C256 EPROMs (background tiles)
+ A5004-1 - PAL
+ 6116 - 2kBx8-bit SRAM
+
+
+***************************************************************************
+
Driver notes:
------
diff --git a/src/mame/mame.lst b/src/mame/mame.lst
index 9f17886b1a7..eedc43efdef 100644
--- a/src/mame/mame.lst
+++ b/src/mame/mame.lst
@@ -24068,7 +24068,8 @@ dyndeka2b // 1998.05 Dynamite Deka 2 (Japan)
fvipers // 1995.11 Fighting Vipers (Revision D)
fvipersb // 1995.11 Fighting Vipers (Revision B)
gunblade // 1996.04 Gunblade N.Y. (Revision A)
-hotd // 1997.03 The House of the Dead
+hotd // 1997.0? The House of the Dead (Revision A)
+hotdo // 1997.03 The House of the Dead
hotdp // 1997.01 The House of the Dead (prototype)
indy500 // 1995.07 Indy 500 (Revision A, Newer)
indy500d // 1995.07 Indy 500 Deluxe (Revision A)