summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame/drivers/gp_2.cpp
diff options
context:
space:
mode:
author Miodrag Milanovic <mmicko@gmail.com>2016-01-10 18:41:17 +0100
committer Miodrag Milanovic <mmicko@gmail.com>2016-01-10 18:41:17 +0100
commitf9f908d48bd3607f8ddf529eb6b83c2b4b0b9790 (patch)
tree5d0008d8b4e1e9eb6acda88b16c81b1883c66e06 /src/mame/drivers/gp_2.cpp
parent3e5ad46410db4d3b3d8bd4b4bb84c3cdce2b1bfd (diff)
modernize output_manager (nw)
Diffstat (limited to 'src/mame/drivers/gp_2.cpp')
-rw-r--r--src/mame/drivers/gp_2.cpp12
1 files changed, 6 insertions, 6 deletions
diff --git a/src/mame/drivers/gp_2.cpp b/src/mame/drivers/gp_2.cpp
index 11e2222720b..0294d4c7575 100644
--- a/src/mame/drivers/gp_2.cpp
+++ b/src/mame/drivers/gp_2.cpp
@@ -536,17 +536,17 @@ WRITE8_MEMBER( gp_2_state::porta_w )
else
if (m_u14 == 7)
{
- output_set_digit_value(m_digit, patterns[m_segment[7]]);
- output_set_digit_value(m_digit+8, patterns[m_segment[8]]);
- output_set_digit_value(m_digit+16, patterns[m_segment[9]]);
- output_set_digit_value(m_digit+24, patterns[m_segment[10]]);
- output_set_digit_value(m_digit+32, patterns[m_segment[11]]);
+ machine().output().set_digit_value(m_digit, patterns[m_segment[7]]);
+ machine().output().set_digit_value(m_digit+8, patterns[m_segment[8]]);
+ machine().output().set_digit_value(m_digit+16, patterns[m_segment[9]]);
+ machine().output().set_digit_value(m_digit+24, patterns[m_segment[10]]);
+ machine().output().set_digit_value(m_digit+32, patterns[m_segment[11]]);
}
}
WRITE8_MEMBER( gp_2_state::portc_w )
{
- output_set_value("led0", !BIT(data, 3));
+ machine().output().set_value("led0", !BIT(data, 3));
m_digit = data & 7;
}