summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame/drivers/exidy.cpp
diff options
context:
space:
mode:
author Ivan Vangelista <mesgnet@yahoo.it>2018-04-23 19:25:26 +0200
committer Ivan Vangelista <mesgnet@yahoo.it>2018-04-23 19:25:26 +0200
commit46de4d6ed275f6ef46111ce4fe141a8484c52952 (patch)
treed86722739e4e6865ee4616acdff76a7400817c72 /src/mame/drivers/exidy.cpp
parent0bcbd63021f4e11cfc48aae352dfd60c51b26b64 (diff)
exidy.cpp: added PROMs for venture [Kevin Eshbach, The Dumping Union]
Diffstat (limited to 'src/mame/drivers/exidy.cpp')
-rw-r--r--src/mame/drivers/exidy.cpp15
1 files changed, 15 insertions, 0 deletions
diff --git a/src/mame/drivers/exidy.cpp b/src/mame/drivers/exidy.cpp
index 3ab21a67921..e96dac69b9c 100644
--- a/src/mame/drivers/exidy.cpp
+++ b/src/mame/drivers/exidy.cpp
@@ -1348,6 +1348,11 @@ ROM_START( venture )
ROM_REGION( 0x0800, "gfx1", 0 )
ROM_LOAD( "11d-cpu", 0x0000, 0x0800, CRC(b4bb2503) SHA1(67303603b7c5e6301e976ef19f81c7519648b179) )
+
+ ROM_REGION( 0x140, "proms", 0 )
+ ROM_LOAD( "hrl14h 1.h14", 0x0000, 0x0020, CRC(f76b4fcf) SHA1(197e0cc508ffeb5cefa4046bdfb158939d598225) )
+ ROM_LOAD( "vel5c 1.c5", 0x0020, 0x0100, CRC(43b35bb7) SHA1(0a0cecea8faff9f3ff4c2ceda0b5b25e8e1cd667) )
+ ROM_LOAD( "hrl6d 1.d6", 0x0120, 0x0020, CRC(e26f9053) SHA1(eec35b6aa2c2d305418306bf4a1754a0583f109f) )
ROM_END
@@ -1371,6 +1376,11 @@ ROM_START( venture2 )
ROM_REGION( 0x0800, "gfx1", 0 )
ROM_LOAD( "11d-cpu", 0x0000, 0x0800, CRC(b4bb2503) SHA1(67303603b7c5e6301e976ef19f81c7519648b179) )
+
+ ROM_REGION( 0x140, "proms", 0 )
+ ROM_LOAD( "hrl14h 1.h14", 0x0000, 0x0020, CRC(f76b4fcf) SHA1(197e0cc508ffeb5cefa4046bdfb158939d598225) )
+ ROM_LOAD( "vel5c 1.c5", 0x0020, 0x0100, CRC(43b35bb7) SHA1(0a0cecea8faff9f3ff4c2ceda0b5b25e8e1cd667) )
+ ROM_LOAD( "hrl6d 1.d6", 0x0120, 0x0020, CRC(e26f9053) SHA1(eec35b6aa2c2d305418306bf4a1754a0583f109f) )
ROM_END
@@ -1394,6 +1404,11 @@ ROM_START( venture4 )
ROM_REGION( 0x0800, "gfx1", 0 )
ROM_LOAD( "vel11d-2", 0x0000, 0x0800, CRC(ea6fd981) SHA1(46b1658e1607423d5a073f14097c2a48d59057c0) )
+
+ ROM_REGION( 0x140, "proms", 0 )
+ ROM_LOAD( "hrl14h 1.h14", 0x0000, 0x0020, CRC(f76b4fcf) SHA1(197e0cc508ffeb5cefa4046bdfb158939d598225) )
+ ROM_LOAD( "vel5c 1.c5", 0x0020, 0x0100, CRC(43b35bb7) SHA1(0a0cecea8faff9f3ff4c2ceda0b5b25e8e1cd667) )
+ ROM_LOAD( "hrl6d 1.d6", 0x0120, 0x0020, CRC(e26f9053) SHA1(eec35b6aa2c2d305418306bf4a1754a0583f109f) )
ROM_END