summaryrefslogtreecommitdiffstatshomepage
path: root/nl_examples
diff options
context:
space:
mode:
author Couriersud <couriersud@users.noreply.github.com>2014-01-19 15:51:25 +0000
committer Couriersud <couriersud@users.noreply.github.com>2014-01-19 15:51:25 +0000
commit4dc77142f9c23512693f87e1b16ce18c7b298ce0 (patch)
tree212719103166c2f72097802aa0dc7ce6bd150f98 /nl_examples
parentc1158bbabff1ad095c6453ae4ab538ec2c305f3d (diff)
Major renaming of netlist device macros, like
NETDEV_ALIAS ==> ALIAS NETDEV_R ==> RES NETDEV_C ==> CAP
Diffstat (limited to 'nl_examples')
-rw-r--r--nl_examples/7400_astable.c16
-rw-r--r--nl_examples/bjt.c28
-rw-r--r--nl_examples/bjt_eb.c30
-rw-r--r--nl_examples/msx_mixer_stage.c44
-rw-r--r--nl_examples/ne555_astable.c18
-rw-r--r--nl_examples/opamp.c24
-rw-r--r--nl_examples/test.c40
-rw-r--r--nl_examples/todo.c64
8 files changed, 133 insertions, 131 deletions
diff --git a/nl_examples/7400_astable.c b/nl_examples/7400_astable.c
index 4823e8f0122..4e92127c2f5 100644
--- a/nl_examples/7400_astable.c
+++ b/nl_examples/7400_astable.c
@@ -14,21 +14,21 @@ NETLIST_START(7400_astable)
/* Standard stuff */
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
- NETDEV_PARAM(Solver.ACCURACY, 1e-7)
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
+ PARAM(Solver.ACCURACY, 1e-7)
// astable NAND Multivibrator
- NETDEV_R(R1, 1000)
- NETDEV_C(C1, 1e-6)
+ RES(R1, 1000)
+ CAP(C1, 1e-6)
TTL_7400_NAND(n1,R1.1,R1.1)
TTL_7400_NAND(n2,R1.2,R1.2)
NET_C(n1.Q, R1.2)
NET_C(n2.Q, C1.1)
NET_C(C1.2, R1.1)
- NETDEV_LOG(log2, C1.2)
- //NETDEV_LOG(log2, n1.Q)
- NETDEV_LOG(log3, n2.Q)
+ LOG(log2, C1.2)
+ //LOG(log2, n1.Q)
+ LOG(log3, n2.Q)
NETLIST_END()
diff --git a/nl_examples/bjt.c b/nl_examples/bjt.c
index cf774926149..9c91ce12a16 100644
--- a/nl_examples/bjt.c
+++ b/nl_examples/bjt.c
@@ -9,18 +9,18 @@
NETLIST_START(bjt)
/* Standard stuff */
- NETDEV_CLOCK(clk)
- NETDEV_PARAM(clk.FREQ, 1000) // 1000 Hz
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
- NETDEV_ANALOG_INPUT(V5, 5)
- NETDEV_ANALOG_INPUT(V3, 3.5)
+ CLOCK(clk)
+ PARAM(clk.FREQ, 1000) // 1000 Hz
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
+ ANALOG_INPUT(V5, 5)
+ ANALOG_INPUT(V3, 3.5)
/* NPN - example */
- NETDEV_QBJT_SW(Q, "BC237B")
- NETDEV_R(RB, 1000)
- NETDEV_R(RC, 1000)
+ QBJT_SW(Q, "BC237B")
+ RES(RB, 1000)
+ RES(RC, 1000)
NET_C(RC.1, V5)
NET_C(RC.2, Q.C)
@@ -30,9 +30,9 @@ NETLIST_START(bjt)
/* PNP - example */
- NETDEV_QBJT_SW(Q1, "BC556B")
- NETDEV_R(RB1, 1000)
- NETDEV_R(RC1, 1000)
+ QBJT_SW(Q1, "BC556B")
+ RES(RB1, 1000)
+ RES(RC1, 1000)
NET_C(RC1.1, GND)
NET_C(RC1.2, Q1.C)
@@ -40,7 +40,7 @@ NETLIST_START(bjt)
NET_C(RB1.2, Q1.B)
NET_C(Q1.E, V3)
- NETDEV_LOG(logB, Q.B)
- NETDEV_LOG(logC, Q.C)
+ LOG(logB, Q.B)
+ LOG(logC, Q.C)
NETLIST_END()
diff --git a/nl_examples/bjt_eb.c b/nl_examples/bjt_eb.c
index 20b985757df..5731e77e55b 100644
--- a/nl_examples/bjt_eb.c
+++ b/nl_examples/bjt_eb.c
@@ -5,24 +5,26 @@
#include "netlist/devices/net_lib.h"
+#include "netlist/analog/nld_twoterm.h"
NETLIST_START(bjt)
/* Standard stuff */
- NETDEV_CLOCK(clk)
- NETDEV_PARAM(clk.FREQ, 10000) // 1000 Hz
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
- NETDEV_PARAM(Solver.ACCURACY, 1e-6)
- NETDEV_PARAM(Solver.RESCHED_LOOPS, 50)
- NETDEV_ANALOG_INPUT(V5, 5)
- NETDEV_ANALOG_INPUT(V3, 3.5)
+ CLOCK(clk)
+ PARAM(clk.FREQ, 10000) // 1000 Hz
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
+ PARAM(Solver.ACCURACY, 1e-4)
+ //PARAM(Solver.ACCURACY, 1e-6)
+ PARAM(Solver.RESCHED_LOOPS, 50)
+ ANALOG_INPUT(V5, 5)
+ ANALOG_INPUT(V3, 3.5)
/* NPN - example */
- NETDEV_QBJT_EB(Q, "BC237B")
- NETDEV_R(RB, 1000)
- NETDEV_R(RC, 1000)
+ QBJT_EB(Q, "BC237B")
+ RES(RB, 1000)
+ RES(RC, 1000)
NET_C(RC.1, V5)
NET_C(RC.2, Q.C)
@@ -33,11 +35,11 @@ NETLIST_START(bjt)
// put some load on Q.C
- NETDEV_R(RCE, 150000)
+ RES(RCE, 150000)
NET_C(RCE.1, Q.C)
NET_C(RCE.2, GND)
- NETDEV_LOG(logB, Q.B)
- NETDEV_LOG(logC, Q.C)
+ //LOG(logB, Q.B)
+ //LOG(logC, Q.C)
NETLIST_END()
diff --git a/nl_examples/msx_mixer_stage.c b/nl_examples/msx_mixer_stage.c
index 70b0b3b22bf..e80d003d4f7 100644
--- a/nl_examples/msx_mixer_stage.c
+++ b/nl_examples/msx_mixer_stage.c
@@ -9,32 +9,32 @@
NETLIST_START(msx)
/* Standard stuff */
- NETDEV_CLOCK(clk)
- NETDEV_PARAM(clk.FREQ, 1000) // 1000 Hz
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
- NETDEV_PARAM(Solver.ACCURACY, 1e-6)
- NETDEV_PARAM(Solver.CONVERG, 0.3)
- NETDEV_PARAM(Solver.RESCHED_LOOPS, 60)
+ CLOCK(clk)
+ PARAM(clk.FREQ, 1000) // 1000 Hz
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
+ PARAM(Solver.ACCURACY, 1e-5)
+ PARAM(Solver.CONVERG, 0.3)
+ PARAM(Solver.RESCHED_LOOPS, 80)
- NETDEV_R(RAY8910, 2345) // Max Voltage
+ RES(RAY8910, 2345) // Max Voltage
- NETDEV_ANALOG_INPUT(V5, 5)
- NETDEV_ANALOG_INPUT(V12, 12)
+ ANALOG_INPUT(V5, 5)
+ ANALOG_INPUT(V12, 12)
- NETDEV_ANALOG_INPUT(SOUND, 5)
- NETDEV_ANALOG_INPUT(SND, 5)
+ ANALOG_INPUT(SOUND, 5)
+ ANALOG_INPUT(SND, 5)
NET_MODEL(".model ss9014 NPN(is=2.87599e-14 bf=377.5 vaf=123 ikf=1.1841 ise=4.7863e-15 ne=1.5 br=4.79 var=11.29 ikr=0.275423 isc=1.44544e-14 nc=1.5 rb=200 irb=1e-5 rbm=10 re=0.56 rc=5 cje=1.7205e-11 vje=0.6905907 mje=0.3193434 tf=5.89463e-10 cjc=6.2956p vjc=0.4164212 mjc=0.2559546 xcjc=0.451391 xtb=1.8881 eg=1.2415 xti=3 fc=0.5 Vceo=45 Icrating=0.1 mfg=Fairchild)")
- NETDEV_R(R24, RES_K(51))
- NETDEV_R(R23, RES_K(5))
- NETDEV_R(R21, RES_K(51))
- NETDEV_R(R20, RES_K(1))
- NETDEV_R(R9, RES_K(10))
- NETDEV_R(R8, 330)
+ RES(R24, RES_K(51))
+ RES(R23, RES_K(5))
+ RES(R21, RES_K(51))
+ RES(R20, RES_K(1))
+ RES(R9, RES_K(10))
+ RES(R8, 330)
- NETDEV_C(C55, CAP_U(5)) // Guessed
+ CAP(C55, CAP_U(5)) // Guessed
//NET_C(RAY8910.1, SND)
NET_C(RAY8910.1, clk)
@@ -50,7 +50,7 @@ NETLIST_START(msx)
NET_C(R21.1, V5)
NET_C(R21.2, R23.2)
- NETDEV_QBJT_EB(T2, "ss9014")
+ QBJT_EB(T2, "ss9014")
NET_C(R9.1, V12)
NET_C(R9.2, T2.C)
@@ -58,7 +58,7 @@ NETLIST_START(msx)
NET_C(R8.1, T2.E)
NET_C(R8.2, GND)
- //NETDEV_LOG(logB, T2.B)
- //NETDEV_LOG(logC, T2.C)
+ LOG(logB, T2.B)
+ LOG(logC, T2.C)
NETLIST_END()
diff --git a/nl_examples/ne555_astable.c b/nl_examples/ne555_astable.c
index 0a88037851f..4b511bed219 100644
--- a/nl_examples/ne555_astable.c
+++ b/nl_examples/ne555_astable.c
@@ -14,19 +14,19 @@ NETLIST_START(ne555_astable)
/* Standard stuff */
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
- NETDEV_ANALOG_INPUT(V5, 5) // 5V
+ ANALOG_INPUT(V5, 5) // 5V
/* Wiring up the ne555 */
// astable NE555, 1.13 ms period
- NETDEV_R(RA, 5000)
- NETDEV_R(RB, 3000)
- NETDEV_C(C, 0.15e-6)
- NETDEV_NE555(555)
+ RES(RA, 5000)
+ RES(RB, 3000)
+ CAP(C, 0.15e-6)
+ NE555(555)
NET_C(GND, 555.GND)
NET_C(V5, 555.VCC)
@@ -43,7 +43,7 @@ NETLIST_START(ne555_astable)
NET_C(555.TRIG, C.1)
NET_C(C.2, GND)
- NETDEV_LOG(log2, C.1)
- NETDEV_LOG(log3, 555.OUT)
+ LOG(log2, C.1)
+ LOG(log3, 555.OUT)
NETLIST_END()
diff --git a/nl_examples/opamp.c b/nl_examples/opamp.c
index 9f17ac7cc2c..2d960888f2e 100644
--- a/nl_examples/opamp.c
+++ b/nl_examples/opamp.c
@@ -19,11 +19,11 @@ NETLIST_START(opamp)
/* Standard stuff */
- NETDEV_CLOCK(clk)
- NETDEV_PARAM(clk.FREQ, 1000) // 1000 Hz
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
- NETDEV_PARAM(Solver.ACCURACY, 1e-6)
+ CLOCK(clk)
+ PARAM(clk.FREQ, 1000) // 1000 Hz
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
+ PARAM(Solver.ACCURACY, 1e-6)
/* Wiring up the opamp */
@@ -33,12 +33,12 @@ NETLIST_START(opamp)
/* The opamp model */
NETDEV_VCCS(G1)
- NETDEV_PARAM(G1.G, 100) // typical OP-AMP amplification 100 * 1000 = 100000
- NETDEV_R(RP1, 1000)
- NETDEV_C(CP1, 1.59e-6) // <== change to 1.59e-3 for 10Khz bandwidth
+ PARAM(G1.G, 100) // typical OP-AMP amplification 100 * 1000 = 100000
+ RES(RP1, 1000)
+ CAP(CP1, 1.59e-6) // <== change to 1.59e-3 for 10Khz bandwidth
NETDEV_VCVS(EBUF)
- NETDEV_PARAM(EBUF.RO, 50)
- NETDEV_PARAM(EBUF.G, 1)
+ PARAM(EBUF.RO, 50)
+ PARAM(EBUF.G, 1)
NET_ALIAS(PLUS, G1.IP) // Positive input
NET_ALIAS(MINUS, G1.IN) // Negative input
@@ -55,6 +55,6 @@ NETLIST_START(opamp)
NET_C(CP1.1, RP1.1)
NET_C(EBUF.IP, RP1.1)
- //NETDEV_LOG(logX, OUT)
- //NETDEV_LOG(logY, 4V)
+ //LOG(logX, OUT)
+ //LOG(logY, 4V)
NETLIST_END()
diff --git a/nl_examples/test.c b/nl_examples/test.c
index ae5f9a4a51f..a76c4b88c8c 100644
--- a/nl_examples/test.c
+++ b/nl_examples/test.c
@@ -9,13 +9,13 @@
NETLIST_START(bjt)
/* Standard stuff */
- NETDEV_CLOCK(clk)
- NETDEV_PARAM(clk.FREQ, 1000) // 1000 Hz
- NETDEV_SOLVER(Solver)
- NETDEV_PARAM(Solver.FREQ, 48000)
+ CLOCK(clk)
+ PARAM(clk.FREQ, 1000) // 1000 Hz
+ SOLVER(Solver)
+ PARAM(Solver.FREQ, 48000)
- NETDEV_ANALOG_INPUT(V3, 3)
- NETDEV_ANALOG_INPUT(STOPG, 0)
+ ANALOG_INPUT(V3, 3)
+ ANALOG_INPUT(STOPG, 0)
NET_ALIAS(SRSTQ, RYf.2)
NET_ALIAS(SRST, RYc.2)
NET_C(antenna, GND)
@@ -23,15 +23,15 @@ NETLIST_START(bjt)
TTL_7404_INVERT(e4d, STOPG)
- NETDEV_R(RYf, 50) // output impedance
- NETDEV_R(RYc, 50) // output impedance
+ RES(RYf, 50) // output impedance
+ RES(RYc, 50) // output impedance
TTL_7404_INVERT(c9f, RYc.2)
TTL_7404_INVERT(c9c, RYf.2)
NET_C(c9f.Q, RYf.1)
NET_C(c9c.Q, RYc.1)
- NETDEV_SWITCH2(coinsw, RYc.2, RYf.2)
+ SWITCH2(coinsw, RYc.2, RYf.2)
NET_C(coinsw.Q, GND)
@@ -40,8 +40,8 @@ NETLIST_START(bjt)
NETDEV_QNPN(Q3, BC237B)
NET_ALIAS(antenna, Q3.B)
NET_C(GND, Q3.E)
- NETDEV_R(RX5, 100)
- NETDEV_C(CX1, 100)
+ RES(RX5, 100)
+ CAP(CX1, 100)
NET_C(RX5.1, CX1.1)
NET_C(RX5.1, Q3.C)
NET_C(RX5.2, GND)
@@ -50,20 +50,20 @@ NETLIST_START(bjt)
NET_C(Q1.B, RX5.1)
NET_C(Q1.E, GND)
- NETDEV_D(D3, 1N914)
+ DIODE(D3, 1N914)
NET_C(D3.A, Q1.C)
NET_C(D3.K, SRSTQ)
- NETDEV_D(D2, 1N914)
- NETDEV_R(RX4, 220)
+ DIODE(D2, 1N914)
+ RES(RX4, 220)
NET_C(D2.K, e4d.Q)
NET_C(D2.A, RX4.1)
NET_C(RX4.2, Q3.C)
- NETDEV_R(RX1, 100)
- NETDEV_R(RX2, 100)
- NETDEV_R(RX3, 330)
- NETDEV_C(CX2, CAP_U(0.1))
+ RES(RX1, 100)
+ RES(RX2, 100)
+ RES(RX3, 330)
+ CAP(CX2, CAP_U(0.1))
NET_C(RX3.2, D3.A)
NET_C(RX3.1, RX1.2)
@@ -80,7 +80,7 @@ NETLIST_START(bjt)
NET_C(RX2.1, D2.A)
- //NETDEV_LOG(logB, Q1.B)
- //NETDEV_LOG(logC, Q1.C)
+ //LOG(logB, Q1.B)
+ //LOG(logC, Q1.C)
NETLIST_END()
diff --git a/nl_examples/todo.c b/nl_examples/todo.c
index 1fa2d885584..641a94fa803 100644
--- a/nl_examples/todo.c
+++ b/nl_examples/todo.c
@@ -4,30 +4,30 @@
*/
#if 0
- NETDEV_R(R1, 10)
- NETDEV_R(R2, 10)
- NETDEV_R(R3, 10)
+ RES(R1, 10)
+ RES(R2, 10)
+ RES(R3, 10)
NET_C(V5,R1.1)
NET_C(R1.2, R2.1)
NET_C(R2.2, R3.1)
NET_C(R3.2, GND)
#endif
#if 0
- NETDEV_R(R4, 1000)
- NETDEV_C(C1, 1e-6)
+ RES(R4, 1000)
+ CAP(C1, 1e-6)
NET_C(V5,R4.1)
NET_C(R4.2, C1.1)
NET_C(C1.2, GND)
- //NETDEV_LOG(log1, C1.1)
+ //LOG(log1, C1.1)
#endif
#if 0
- NETDEV_R(R5, 1000)
+ RES(R5, 1000)
NETDEV_1N914(D1)
NET_C(V5, R5.1)
NET_C(R5.2, D1.A)
NET_C(D1.K, GND)
- //NETDEV_LOG(log1, D1.A)
+ //LOG(log1, D1.A)
#endif
#if 0
@@ -35,8 +35,8 @@
#if 0
NETDEV_VCVS(VV)
- NETDEV_R(R1, 1000)
- NETDEV_R(R2, 10000)
+ RES(R1, 1000)
+ RES(R2, 10000)
NET_C(V5, R1.1)
NET_C(R1.2, VV.IN)
@@ -44,16 +44,16 @@
NET_C(R2.2, VV.IN)
NET_C(VV.ON, GND)
NET_C(VV.IP, GND)
- NETDEV_LOG(logX, VV.OP)
+ LOG(logX, VV.OP)
#endif
#if 0
NETDEV_VCCS(VV)
- NETDEV_PARAM(VV.G, 100000) // typical OP-AMP amplification
- NETDEV_R(R1, 1000)
- NETDEV_R(R2, 1)
- NETDEV_R(R3, 10000)
+ PARAM(VV.G, 100000) // typical OP-AMP amplification
+ RES(R1, 1000)
+ RES(R2, 1)
+ RES(R3, 10000)
NET_C(4V, R1.1)
NET_C(R1.2, VV.IN)
@@ -63,17 +63,17 @@
NET_C(R2.2, GND)
NET_C(VV.ON, GND)
NET_C(VV.IP, GND)
- //NETDEV_LOG(logX, VV.OP)
- //NETDEV_LOG(logY, 4V)
+ //LOG(logX, VV.OP)
+ //LOG(logY, 4V)
#endif
#if 0
NETDEV_VCVS(VV)
- NETDEV_PARAM(VV.G, 100000) // typical OP-AMP amplification
- NETDEV_PARAM(VV.RO, 50) // typical OP-AMP amplification
- NETDEV_R(R1, 1000)
- NETDEV_R(R3, 10000) // ==> 10x amplification (inverting)
+ PARAM(VV.G, 100000) // typical OP-AMP amplification
+ PARAM(VV.RO, 50) // typical OP-AMP amplification
+ RES(R1, 1000)
+ RES(R3, 10000) // ==> 10x amplification (inverting)
NET_C(4V, R1.1)
NET_C(R1.2, VV.IN)
@@ -81,38 +81,38 @@
NET_C(R3.2, VV.OP)
NET_C(VV.ON, GND)
NET_C(VV.IP, GND)
- NETDEV_LOG(logX, VV.OP)
- NETDEV_LOG(logY, 4V)
+ LOG(logX, VV.OP)
+ LOG(logY, 4V)
#endif
#if 0
// Impedance converter with resistor
NETDEV_VCVS(VV)
- NETDEV_PARAM(VV.G, 100000) // typical OP-AMP amplification
- NETDEV_PARAM(VV.RO, 50) // typical OP-AMP amplification
- NETDEV_R(R3, 10000)
+ PARAM(VV.G, 100000) // typical OP-AMP amplification
+ PARAM(VV.RO, 50) // typical OP-AMP amplification
+ RES(R3, 10000)
NET_C(4V, VV.IP)
NET_C(R3.1, VV.IN)
NET_C(R3.2, VV.OP)
NET_C(VV.ON, GND)
- NETDEV_LOG(logX, VV.OP)
- NETDEV_LOG(logY, 4V)
+ LOG(logX, VV.OP)
+ LOG(logY, 4V)
#endif
#if 0
// Impedance converter without resistor
NETDEV_VCVS(VV)
- NETDEV_PARAM(VV.G, 100000) // typical OP-AMP amplification
- NETDEV_PARAM(VV.RO, 50) // typical OP-AMP amplification
+ PARAM(VV.G, 100000) // typical OP-AMP amplification
+ PARAM(VV.RO, 50) // typical OP-AMP amplification
NET_C(4V, VV.IP)
NET_C(VV.IN, VV.OP)
NET_C(VV.ON, GND)
- NETDEV_LOG(logX, VV.OP)
- NETDEV_LOG(logY, 4V)
+ LOG(logX, VV.OP)
+ LOG(logY, 4V)
#endif
d