summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author Ivan Vangelista <mesgnet@yahoo.it>2019-10-12 14:02:53 +0200
committer Ivan Vangelista <mesgnet@yahoo.it>2019-10-12 14:02:53 +0200
commit76d9f9c73648e2b373d2e1369e466d54210698a4 (patch)
treecedf645d1e40979c82d838e4437b3dfeeb4e9e97
parent353f180f9a9169eb9a1b9527c9725bc249707696 (diff)
lwings.cpp: measured maincpu clock and vsync on an original sectionz PCB [Corrado Tomaselli]
lwings.cpp: measured maincpu clock on a bootleg sectionza PCB [Guru]
-rw-r--r--src/mame/drivers/lwings.cpp13
-rw-r--r--src/mame/includes/lwings.h9
2 files changed, 17 insertions, 5 deletions
diff --git a/src/mame/drivers/lwings.cpp b/src/mame/drivers/lwings.cpp
index 1080b4661e4..e6b80ef5e8e 100644
--- a/src/mame/drivers/lwings.cpp
+++ b/src/mame/drivers/lwings.cpp
@@ -978,6 +978,15 @@ void lwings_state::lwings(machine_config &config)
ym2203b.add_route(3, "mono", 0.10);
}
+void lwings_state::sectionz(machine_config &config)
+{
+ lwings(config);
+
+ m_maincpu->set_clock(XTAL(12'000'000)/4); // XTAL and clock verified on an original PCB and on a bootleg with ROMs matching those of sectionza
+
+ subdevice<screen_device>("screen")->set_refresh_hz(55.37); // verified on an original PCB
+}
+
void lwings_state::fball(machine_config &config)
{
/* basic machine hardware */
@@ -1779,8 +1788,8 @@ void lwings_state::init_avengersb()
*
*************************************/
-GAME( 1985, sectionz, 0, lwings, sectionz, lwings_state, empty_init, ROT0, "Capcom", "Section Z (set 1)", MACHINE_SUPPORTS_SAVE )
-GAME( 1985, sectionza, sectionz, lwings, sectionz, lwings_state, empty_init, ROT0, "Capcom", "Section Z (set 2)", MACHINE_SUPPORTS_SAVE )
+GAME( 1985, sectionz, 0, sectionz, sectionz, lwings_state, empty_init, ROT0, "Capcom", "Section Z (set 1)", MACHINE_SUPPORTS_SAVE )
+GAME( 1985, sectionza, sectionz, sectionz, sectionz, lwings_state, empty_init, ROT0, "Capcom", "Section Z (set 2)", MACHINE_SUPPORTS_SAVE )
GAME( 1986, lwings, 0, lwings, lwings, lwings_state, empty_init, ROT90, "Capcom", "Legendary Wings (US set 1)", MACHINE_SUPPORTS_SAVE )
GAME( 1986, lwings2, lwings, lwings, lwings, lwings_state, empty_init, ROT90, "Capcom", "Legendary Wings (US set 2)", MACHINE_SUPPORTS_SAVE )
diff --git a/src/mame/includes/lwings.h b/src/mame/includes/lwings.h
index 7139936cfb3..99d27831877 100644
--- a/src/mame/includes/lwings.h
+++ b/src/mame/includes/lwings.h
@@ -25,6 +25,7 @@ public:
m_soundlatch(*this, "soundlatch") { }
void lwings(machine_config &config);
+ void sectionz(machine_config &config);
void trojan(machine_config &config);
void fball(machine_config &config);
void avengers(machine_config &config);
@@ -32,6 +33,11 @@ public:
void init_avengersb();
+protected:
+ virtual void machine_start() override;
+ virtual void machine_reset() override;
+ virtual void video_start() override;
+
private:
/* memory pointers */
required_device<buffered_spriteram8_device> m_spriteram;
@@ -78,9 +84,6 @@ private:
TILE_GET_INFO_MEMBER(lwings_get_bg1_tile_info);
TILE_GET_INFO_MEMBER(trojan_get_bg1_tile_info);
TILE_GET_INFO_MEMBER(get_bg2_tile_info);
- virtual void machine_start() override;
- virtual void machine_reset() override;
- virtual void video_start() override;
DECLARE_VIDEO_START(trojan);
DECLARE_VIDEO_START(avengers);
DECLARE_VIDEO_START(avengersb);