summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author couriersud <couriersud@gmx.org>2020-04-05 16:28:01 +0200
committer couriersud <couriersud@gmx.org>2020-04-05 16:28:01 +0200
commit507544827804179e1f824f94202e2aa18a52610f (patch)
treec629a90749ae9db963847faba138167c7d60297a
parent9980e80b75c6f0ecf7da288698777ef3c0a52000 (diff)
netlist: Fix some clang-tidy-10 warnings. (nw)
-rw-r--r--src/lib/netlist/analog/nld_bjt.cpp1
-rw-r--r--src/lib/netlist/analog/nld_mosfet.cpp4
-rw-r--r--src/lib/netlist/build/makefile11
-rw-r--r--src/lib/netlist/devices/nlid_proxy.cpp10
-rw-r--r--src/lib/netlist/nl_base.cpp12
-rw-r--r--src/lib/netlist/nl_base.h22
-rwxr-xr-xsrc/lib/netlist/nl_setup.cpp28
-rw-r--r--src/lib/netlist/nltypes.h4
-rw-r--r--[-rwxr-xr-x]src/lib/netlist/plib/palloc.h2
-rw-r--r--src/lib/netlist/plib/poptions.cpp20
-rw-r--r--src/lib/netlist/plib/poptions.h2
-rw-r--r--src/lib/netlist/plib/ppmf.h4
-rw-r--r--src/lib/netlist/plib/pstate.h2
-rw-r--r--src/lib/netlist/plib/pstream.h2
-rw-r--r--src/lib/netlist/plib/pstring.h6
-rw-r--r--src/lib/netlist/plib/ptokenizer.cpp5
-rw-r--r--src/lib/netlist/plib/putil.h4
-rw-r--r--src/lib/netlist/prg/nltool.cpp8
-rw-r--r--src/lib/netlist/prg/nlwav.cpp2
-rw-r--r--src/lib/netlist/solver/nld_matrix_solver.cpp2
-rw-r--r--src/lib/netlist/solver/nld_matrix_solver.h3
-rw-r--r--src/lib/netlist/solver/nld_solver.cpp2
-rw-r--r--src/lib/netlist/tools/nl_convert.cpp8
23 files changed, 80 insertions, 84 deletions
diff --git a/src/lib/netlist/analog/nld_bjt.cpp b/src/lib/netlist/analog/nld_bjt.cpp
index 68253e303cf..5627a8fd823 100644
--- a/src/lib/netlist/analog/nld_bjt.cpp
+++ b/src/lib/netlist/analog/nld_bjt.cpp
@@ -203,7 +203,6 @@ namespace analog
nl_fptype m_V; // internal voltage source
state_var<unsigned> m_state_on;
- private:
};
// -----------------------------------------------------------------------------
diff --git a/src/lib/netlist/analog/nld_mosfet.cpp b/src/lib/netlist/analog/nld_mosfet.cpp
index 4817f6cc00c..e8cbdf64bcb 100644
--- a/src/lib/netlist/analog/nld_mosfet.cpp
+++ b/src/lib/netlist/analog/nld_mosfet.cpp
@@ -366,7 +366,7 @@ namespace analog
void set_cap(generic_capacitor<capacitor_e::VARIABLE_CAPACITY> cap,
nl_fptype capval, nl_fptype V,
nl_fptype &g11, nl_fptype &g12, nl_fptype &g21, nl_fptype &g22,
- nl_fptype &I1, nl_fptype &I2)
+ nl_fptype &I1, nl_fptype &I2) const
{
const nl_fptype I = cap.Ieq(capval, V) * m_polarity;
const nl_fptype G = cap.G(capval);
@@ -376,7 +376,7 @@ namespace analog
}
void calculate_caps(nl_fptype Vgs, nl_fptype Vgd, nl_fptype Vth,
- nl_fptype &Cgs, nl_fptype &Cgd, nl_fptype &Cgb)
+ nl_fptype &Cgs, nl_fptype &Cgd, nl_fptype &Cgb) const
{
nl_fptype Vctrl = Vgs - Vth * m_polarity;
// Cut off - now further differentiated into 3 different formulas
diff --git a/src/lib/netlist/build/makefile b/src/lib/netlist/build/makefile
index af72486c46f..993652336c0 100644
--- a/src/lib/netlist/build/makefile
+++ b/src/lib/netlist/build/makefile
@@ -2,10 +2,7 @@
#
# makefile
#
-# Core makefile for building MAME and derivatives
-#
-# Copyright (c) Nicola Salmoria and the MAME Team.
-# Visit http://mamedev.org for licensing and usage restrictions.
+# Core makefile for building netlist
#
###########################################################################
@@ -60,7 +57,7 @@ LD = @g++
MD = @mkdir
RM = @rm
DOXYGEN = @./doxygen
-CLANG_TIDY = clang-tidy-9
+CLANG_TIDY = clang-tidy-10
TARGETS = nltool nlwav
@@ -242,13 +239,13 @@ gcc9:
$(MAKE) CC=g++-9 LD=g++-9 CEXTRAFLAGS="-march=native -msse4.2 -Wall -pedantic -Wpedantic -Wsign-compare -Wextra" EXTRALIBS="-lquadmath"
clang:
- $(MAKE) CC=clang++-10 LD=clang++-10 CEXTRAFLAGS="-march=native -msse4.2 -Weverything -Wall -pedantic -Wpedantic -Werror -Wno-padded -Wno-weak-vtables -Wno-unused-template -Wno-missing-variable-declarations -Wno-float-equal -Wconversion -Wno-c++98-compat -Wno-c++98-compat-pedantic -Wno-format-nonliteral -Wno-exit-time-destructors"
+ $(MAKE) CC=clang++-11 LD=clang++-11 OBJ=obj/clang CEXTRAFLAGS="-march=native -msse4.2 -Weverything -Wall -pedantic -Wpedantic -Werror -Wno-padded -Wno-weak-vtables -Wno-unused-template -Wno-missing-variable-declarations -Wno-float-equal -Wconversion -Wno-c++98-compat -Wno-c++98-compat-pedantic -Wno-format-nonliteral -Wno-exit-time-destructors"
clang-5:
$(MAKE) CC=clang++-5.0 LD=clang++-5.0 CEXTRAFLAGS="-march=native -Weverything -Werror -Wno-inconsistent-missing-destructor-override -Wno-unreachable-code -Wno-padded -Wno-weak-vtables -Wno-missing-variable-declarations -Wconversion -Wno-c++98-compat -Wno-float-equal -Wno-global-constructors -Wno-c++98-compat-pedantic -Wno-format-nonliteral -Wno-weak-template-vtables -Wno-exit-time-destructors"
nvcc:
- $(MAKE) CC=/usr/local/cuda-9.0/bin/nvcc LD=/usr/local/cuda-9.2/bin/nvcc \
+ $(MAKE) CC=/usr/local/cuda-9.0/bin/nvcc LD=/usr/local/cuda-9.2/bin/nvcc OBJ=obj/nvcc \
CEXTRAFLAGS="-x cu -DNVCCBUILD=1 --expt-extended-lambda --expt-relaxed-constexpr --default-stream per-thread --restrict"
tidy_db: compile_commands_prefix $(ALL_TIDY_FILES) compile_commands_postfix
diff --git a/src/lib/netlist/devices/nlid_proxy.cpp b/src/lib/netlist/devices/nlid_proxy.cpp
index 68af102232b..fd86f7381ef 100644
--- a/src/lib/netlist/devices/nlid_proxy.cpp
+++ b/src/lib/netlist/devices/nlid_proxy.cpp
@@ -28,13 +28,13 @@ namespace netlist
const std::vector<std::pair<pstring, pstring>> power_syms = { {"VCC", "VEE"}, {"VCC", "GND"}, {"VDD", "VSS"}};
bool f = false;
- for (auto & pwr_sym : power_syms)
+ for (const auto & pwr_sym : power_syms)
{
pstring devname = inout_proxied->device().name();
- auto tp_ct(anetlist.setup().find_terminal(devname + "." + pwr_sym.first,
+ auto *tp_ct(anetlist.setup().find_terminal(devname + "." + pwr_sym.first,
/*detail::terminal_type::INPUT,*/ false));
- auto tp_cn(anetlist.setup().find_terminal(devname + "." + pwr_sym.second,
+ auto *tp_cn(anetlist.setup().find_terminal(devname + "." + pwr_sym.second,
/*detail::terminal_type::INPUT,*/ false));
if (tp_ct && tp_cn)
{
@@ -43,8 +43,8 @@ namespace netlist
if (tp_cn && !tp_cn->is_analog())
throw nl_exception(plib::pfmt("Not an analog terminal: {1}")(tp_cn->name()));
- auto tp_t = static_cast<analog_t* >(tp_ct);
- auto tn_t = static_cast<analog_t *>(tp_cn);
+ auto *tp_t = static_cast<analog_t* >(tp_ct);
+ auto *tn_t = static_cast<analog_t *>(tp_cn);
if (f && (tp_t != nullptr && tn_t != nullptr))
log().warning(MI_MULTIPLE_POWER_TERMINALS_ON_DEVICE(inout_proxied->device().name(),
m_tp->name(), m_tn->name(),
diff --git a/src/lib/netlist/nl_base.cpp b/src/lib/netlist/nl_base.cpp
index 36f0e6df31f..f884b364cc9 100644
--- a/src/lib/netlist/nl_base.cpp
+++ b/src/lib/netlist/nl_base.cpp
@@ -243,7 +243,7 @@ namespace netlist
detail::net_t *netlist_state_t::find_net(const pstring &name) const
{
- for (auto & net : m_nets)
+ for (const auto & net : m_nets)
if (net->name() == name)
return net.get();
@@ -452,8 +452,8 @@ namespace netlist
for (auto & j : index)
{
- auto entry = m_state.m_devices[j].second.get();
- auto stats = entry->m_stats.get();
+ auto *entry = m_state.m_devices[j].second.get();
+ auto *stats = entry->m_stats.get();
log().verbose("Device {1:20} : {2:12} {3:12} {4:15} {5:12}", entry->name(),
stats->m_stat_call_count(), stats->m_stat_total_time.count(),
stats->m_stat_total_time.total(), stats->m_stat_inc_active());
@@ -504,8 +504,8 @@ namespace netlist
auto trigger = total_count * 200 / 1000000; // 200 ppm
for (auto &entry : m_state.m_devices)
{
- auto ep = entry.second.get();
- auto stats = ep->m_stats.get();
+ auto *ep = entry.second.get();
+ auto *stats = ep->m_stats.get();
// Factor of 3 offers best performace increase
if (stats->m_stat_inc_active() > 3 * stats->m_stat_total_time.count()
&& stats->m_stat_inc_active() > trigger)
@@ -522,7 +522,7 @@ namespace netlist
core_device_t *netlist_state_t::get_single_device(const pstring &classname, bool (*cc)(core_device_t *)) const
{
core_device_t *ret = nullptr;
- for (auto &d : m_devices)
+ for (const auto &d : m_devices)
{
if (cc(d.second.get()))
{
diff --git a/src/lib/netlist/nl_base.h b/src/lib/netlist/nl_base.h
index 91f3f6a0ea3..6710c197329 100644
--- a/src/lib/netlist/nl_base.h
+++ b/src/lib/netlist/nl_base.h
@@ -28,9 +28,9 @@
#include "nl_errstr.h"
#include "nltypes.h"
+#include <initializer_list>
#include <unordered_map>
#include <vector>
-#include <initializer_list>
//============================================================
// MACROS / New Syntax
@@ -428,18 +428,18 @@ namespace netlist
store().insert({obj, aname});
}
- static const T &get(const C *obj) noexcept
+ static const T *get(const C *obj) noexcept
{
try
{
auto ret(store().find(obj));
nl_assert(ret != store().end());
- return ret->second;
+ return &ret->second;
}
catch (...)
{
nl_assert_always(true, "exception in property_store_t.get()");
- return *static_cast<T *>(nullptr);
+ return static_cast<T *>(nullptr);
}
}
@@ -488,7 +488,7 @@ namespace netlist
const pstring &name() const noexcept
{
- return props::get(this);
+ return *props::get(this);
}
protected:
@@ -637,7 +637,7 @@ namespace netlist
state_var_sig m_Q;
#else
- void set_copied_input(netlist_sig_t val) noexcept { plib::unused_var(val); }
+ void set_copied_input(netlist_sig_t val) const noexcept { plib::unused_var(val); }
#endif
void set_delegate(const nldelegate &delegate) noexcept { m_delegate = delegate; }
@@ -1414,9 +1414,9 @@ namespace netlist
inline std::vector<C *> get_device_list() const
{
std::vector<C *> tmp;
- for (auto &d : m_devices)
+ for (const auto &d : m_devices)
{
- auto dev = dynamic_cast<C *>(d.second.get());
+ auto * const dev = dynamic_cast<C *>(d.second.get());
if (dev != nullptr)
tmp.push_back(dev);
}
@@ -1464,7 +1464,7 @@ namespace netlist
core_device_t *find_device(const pstring &name) const
{
- for (auto & d : m_devices)
+ for (const auto & d : m_devices)
if (d.first == name)
return d.second.get();
return nullptr;
@@ -1738,7 +1738,7 @@ namespace netlist
{
passert_always_msg(names.size() == N, "initializer_list size mismatch");
std::size_t i = 0;
- for (auto &n : names)
+ for (const auto &n : names)
this->emplace(i++, dev, pstring(n), std::forward<Args>(args)...);
}
@@ -2129,7 +2129,7 @@ namespace netlist
}
m_time = top->exec_time();
- const auto obj(top->object());
+ auto *const obj(top->object());
m_queue.pop();
if (obj != nullptr)
obj->template update_devs<KEEP_STATS>();
diff --git a/src/lib/netlist/nl_setup.cpp b/src/lib/netlist/nl_setup.cpp
index 9973e6da93d..fd410d57f0a 100755
--- a/src/lib/netlist/nl_setup.cpp
+++ b/src/lib/netlist/nl_setup.cpp
@@ -62,7 +62,7 @@ namespace netlist
std::initializer_list<const char *> params_and_connections)
{
std::vector<pstring> params;
- auto i(params_and_connections.begin());
+ const auto *i(params_and_connections.begin());
pstring name(*i);
++i;
for (; i != params_and_connections.end(); ++i)
@@ -138,7 +138,7 @@ namespace netlist
void nlparse_t::register_dev(const pstring &classname, const pstring &name)
{
- auto f = m_factory.factory_by_name(classname);
+ auto *f = m_factory.factory_by_name(classname);
if (f == nullptr)
{
log().fatal(MF_CLASS_1_NOT_FOUND(classname));
@@ -305,7 +305,7 @@ namespace netlist
bool nlparse_t::device_exists(const pstring &name) const
{
- for (auto &d : m_device_factory)
+ for (const auto &d : m_device_factory)
if (d.first == name)
return true;
return false;
@@ -436,7 +436,7 @@ pstring setup_t::de_alias(const pstring &alias) const
do {
ret = temp;
temp = "";
- for (auto &e : m_alias)
+ for (const auto &e : m_alias)
{
// FIXME: this will resolve first one found
if (e.second == ret)
@@ -454,7 +454,7 @@ pstring setup_t::de_alias(const pstring &alias) const
std::vector<pstring> setup_t::get_terminals_for_device_name(const pstring &devname) const
{
std::vector<pstring> terms;
- for (auto & t : m_terminals)
+ for (const auto & t : m_terminals)
{
if (plib::startsWith(t.second->name(), devname))
{
@@ -464,7 +464,7 @@ std::vector<pstring> setup_t::get_terminals_for_device_name(const pstring &devna
}
}
- for (auto & t : m_alias)
+ for (const auto & t : m_alias)
{
if (plib::startsWith(t.first, devname))
{
@@ -596,7 +596,7 @@ devices::nld_base_proxy *setup_t::get_d_a_proxy(detail::core_terminal_t &out)
out.net().add_terminal(new_proxy->in());
- auto proxy(new_proxy.get());
+ auto *proxy(new_proxy.get());
if (!m_proxies.insert({&out, proxy}).second)
throw nl_exception(MF_DUPLICATE_PROXY_1(out.name()));
@@ -621,7 +621,7 @@ devices::nld_base_proxy *setup_t::get_a_d_proxy(detail::core_terminal_t &inp)
auto new_proxy = incast.logic_family()->create_a_d_proxy(m_nlstate, x, &incast);
//auto new_proxy = plib::owned_ptr<devices::nld_a_to_d_proxy>::Create(netlist(), x, &incast);
- auto ret(new_proxy.get());
+ auto *ret(new_proxy.get());
if (!m_proxies.insert({&inp, ret}).second)
throw nl_exception(MF_DUPLICATE_PROXY_1(inp.name()));
@@ -695,7 +695,7 @@ void setup_t::connect_input_output(detail::core_terminal_t &in, detail::core_ter
{
if (out.is_analog() && in.is_logic())
{
- auto proxy = get_a_d_proxy(in);
+ auto *proxy = get_a_d_proxy(in);
out.net().add_terminal(proxy->proxy_term());
}
@@ -726,7 +726,7 @@ void setup_t::connect_terminal_input(terminal_t &term, detail::core_terminal_t &
{
log().verbose("connect terminal {1} (in, {2}) to {3}\n", inp.name(),
inp.is_analog() ? "analog" : inp.is_logic() ? "logic" : "?", term.name());
- auto proxy = get_a_d_proxy(inp);
+ auto *proxy = get_a_d_proxy(inp);
//out.net().register_con(proxy->proxy_term());
connect_terminals(term, proxy->proxy_term());
@@ -786,7 +786,7 @@ void setup_t::connect_terminals(detail::core_terminal_t &t1, detail::core_termin
log().debug("adding analog net ...\n");
// FIXME: Nets should have a unique name
auto anet = nlstate().pool().make_owned<analog_net_t>(m_nlstate,"net." + t1.name());
- auto anetp = anet.get();
+ auto *anetp = anet.get();
m_nlstate.register_net(std::move(anet));
t1.set_net(anetp);
anetp->add_terminal(t2);
@@ -1055,7 +1055,7 @@ pstring models_t::model_string(const model_map_t &map)
{
// operator [] has no const implementation
pstring ret = map.at("COREMODEL") + "(";
- for (auto & i : map)
+ for (const auto & i : map)
ret += (i.first + '=' + i.second + ' ');
return ret + ")";
@@ -1154,7 +1154,7 @@ const logic_family_desc_t *setup_t::family_from_model(const pstring &model)
ret->m_R_low = m_models.value(model, "ORL");
ret->m_R_high = m_models.value(model, "ORH");
- auto retp = ret.get();
+ auto *retp = ret.get();
m_nlstate.m_family_cache.emplace(model, std::move(ret));
@@ -1223,7 +1223,7 @@ void setup_t::prepare_to_run()
log().debug("Searching for solver and parameters ...\n");
- auto solver = m_nlstate.get_single_device<devices::NETLIB_NAME(solver)>("solver");
+ auto *solver = m_nlstate.get_single_device<devices::NETLIB_NAME(solver)>("solver");
m_netlist_params = m_nlstate.get_single_device<devices::NETLIB_NAME(netlistparams)>("parameter");
// set default model parameters
diff --git a/src/lib/netlist/nltypes.h b/src/lib/netlist/nltypes.h
index 3794c160a57..b3f6f534978 100644
--- a/src/lib/netlist/nltypes.h
+++ b/src/lib/netlist/nltypes.h
@@ -122,9 +122,9 @@ namespace netlist
namespace plib {
template<>
- inline void state_manager_t::save_item(const void *owner, netlist::netlist_time &nlt, const pstring &stname)
+ inline void state_manager_t::save_item(const void *owner, netlist::netlist_time &state, const pstring &stname)
{
- save_state_ptr(owner, stname, datatype_t(sizeof(netlist::netlist_time::internal_type), true, false), 1, nlt.get_internaltype_ptr());
+ save_state_ptr(owner, stname, datatype_t(sizeof(netlist::netlist_time::internal_type), true, false), 1, state.get_internaltype_ptr());
}
} // namespace plib
diff --git a/src/lib/netlist/plib/palloc.h b/src/lib/netlist/plib/palloc.h
index 8ffab1dbd1e..55189f3d45d 100755..100644
--- a/src/lib/netlist/plib/palloc.h
+++ b/src/lib/netlist/plib/palloc.h
@@ -13,12 +13,12 @@
#include "pstring.h"
#include "ptypes.h"
+#include <algorithm>
#include <cstddef> // for std::max_align_t (usually long long)
#include <memory>
#include <type_traits>
#include <utility>
#include <vector>
-#include <algorithm>
#if defined(_WIN32) || defined(_WIN64) || defined(_MSC_VER)
#include <malloc.h>
diff --git a/src/lib/netlist/plib/poptions.cpp b/src/lib/netlist/plib/poptions.cpp
index fd683d888d8..6f23cb2d934 100644
--- a/src/lib/netlist/plib/poptions.cpp
+++ b/src/lib/netlist/plib/poptions.cpp
@@ -199,13 +199,13 @@ namespace plib {
ret = split_paragraphs(description, width, 0, 0) + "\n";
ret += "Usage:\t" + usage + "\n\nOptions:\n\n";
- for (auto & optbase : m_opts )
+ for (const auto & optbase : m_opts )
{
// Skip anonymous inputs which are collected in option_args
if (dynamic_cast<option_args *>(optbase) != nullptr)
continue;
- if (auto opt = dynamic_cast<option *>(optbase))
+ if (auto * const opt = dynamic_cast<option *>(optbase))
{
pstring line = "";
if (opt->short_opt() != "")
@@ -223,7 +223,7 @@ namespace plib {
auto *ol = dynamic_cast<option_str_limit_base *>(opt);
if (ol)
{
- for (auto &v : ol->limit())
+ for (const auto &v : ol->limit())
{
line += v + "|";
}
@@ -243,7 +243,7 @@ namespace plib {
else
ret += split_paragraphs(line + opt->help(), width, indent, 0);
}
- else if (auto grp = dynamic_cast<option_group *>(optbase))
+ else if (auto *grp = dynamic_cast<option_group *>(optbase))
{
ret += "\n" + grp->group() + ":\n";
if (grp->help() != "") ret += split_paragraphs(grp->help(), width, 4, 4) + "\n";
@@ -251,9 +251,9 @@ namespace plib {
}
// FIXME: other help ...
pstring ex("");
- for (auto & optbase : m_opts )
+ for (const auto & optbase : m_opts )
{
- if (auto example = dynamic_cast<option_example *>(optbase))
+ if (auto *example = dynamic_cast<option_example *>(optbase))
{
ex += "> " + example->example()+"\n\n";
ex += split_paragraphs(example->help(), width, 4, 4) + "\n";
@@ -268,9 +268,9 @@ namespace plib {
option *options::getopt_short(const pstring &arg) const
{
- for (auto & optbase : m_opts)
+ for (const auto & optbase : m_opts)
{
- auto opt = dynamic_cast<option *>(optbase);
+ auto *opt = dynamic_cast<option *>(optbase);
if (opt && arg != "" && opt->short_opt() == arg)
return opt;
}
@@ -278,9 +278,9 @@ namespace plib {
}
option *options::getopt_long(const pstring &arg) const
{
- for (auto & optbase : m_opts)
+ for (const auto & optbase : m_opts)
{
- auto opt = dynamic_cast<option *>(optbase);
+ auto *opt = dynamic_cast<option *>(optbase);
if (opt && arg !="" && opt->long_opt() == arg)
return opt;
}
diff --git a/src/lib/netlist/plib/poptions.h b/src/lib/netlist/plib/poptions.h
index 26edebb727e..f247be5a42d 100644
--- a/src/lib/netlist/plib/poptions.h
+++ b/src/lib/netlist/plib/poptions.h
@@ -241,7 +241,7 @@ namespace plib {
template <typename T>
T *getopt_type() const
{
- for (auto & optbase : m_opts )
+ for (const auto & optbase : m_opts )
{
if (auto opt = dynamic_cast<T *>(optbase))
return opt;
diff --git a/src/lib/netlist/plib/ppmf.h b/src/lib/netlist/plib/ppmf.h
index a9df2f6b4aa..5f7709f1740 100644
--- a/src/lib/netlist/plib/ppmf.h
+++ b/src/lib/netlist/plib/ppmf.h
@@ -130,7 +130,7 @@ namespace plib {
mfp mfpo(mftp);
//return mfpo.update_after_bind<FunctionType>(object);
generic_function rfunc(nullptr);
- auto robject = reinterpret_cast<generic_class *>(object);
+ auto *robject = reinterpret_cast<generic_class *>(object);
mfpo.convert_to_generic(rfunc, robject);
func = reinterpret_cast<FunctionType>(rfunc);
object = reinterpret_cast<ObjectType *>(robject);
@@ -144,7 +144,7 @@ namespace plib {
{
// apply the "this" delta to the object first
// NOLINTNEXTLINE(clang-analyzer-core.UndefinedBinaryOperatorResult)
- auto o_p_delta = reinterpret_cast<generic_class *>(reinterpret_cast<std::uint8_t *>(object) + m_this_delta);
+ auto *o_p_delta = reinterpret_cast<generic_class *>(reinterpret_cast<std::uint8_t *>(object) + m_this_delta);
// if the low bit of the vtable index is clear, then it is just a raw function pointer
if (!(m_function & 1))
diff --git a/src/lib/netlist/plib/pstate.h b/src/lib/netlist/plib/pstate.h
index d4156d09b02..4a7875e1767 100644
--- a/src/lib/netlist/plib/pstate.h
+++ b/src/lib/netlist/plib/pstate.h
@@ -165,7 +165,7 @@ public:
std::vector<const entry_t *> save_list() const
{
std::vector<const entry_t *> ret;
- for (auto &i : m_save)
+ for (const auto &i : m_save)
ret.push_back(&i);
return ret;
}
diff --git a/src/lib/netlist/plib/pstream.h b/src/lib/netlist/plib/pstream.h
index 5999757b9e6..f5f26bf19e2 100644
--- a/src/lib/netlist/plib/pstream.h
+++ b/src/lib/netlist/plib/pstream.h
@@ -192,7 +192,7 @@ public:
void write(const pstring &s)
{
- const auto sm = reinterpret_cast<const std::ostream::char_type *>(s.c_str());
+ const auto *const sm = reinterpret_cast<const std::ostream::char_type *>(s.c_str());
const auto sl(static_cast<std::streamsize>(pstring_mem_t_size(s)));
write(sl);
m_strm.write(sm, sl);
diff --git a/src/lib/netlist/plib/pstring.h b/src/lib/netlist/plib/pstring.h
index b46b423ae07..b4d92d8fbdd 100644
--- a/src/lib/netlist/plib/pstring.h
+++ b/src/lib/netlist/plib/pstring.h
@@ -13,10 +13,10 @@
#include <exception>
#include <iterator>
#include <limits>
+#include <ostream>
#include <stdexcept>
#include <string>
#include <type_traits>
-#include <ostream>
// ----------------------------------------------------------------------------------------
// pstring: semi-immutable strings ...
@@ -249,7 +249,7 @@ struct putf8_traits
}
static std::size_t codelen(const mem_t *p) noexcept
{
- const auto p1 = reinterpret_cast<const unsigned char *>(p);
+ const auto *p1 = reinterpret_cast<const unsigned char *>(p);
if ((*p1 & 0xE0) == 0xC0)
return 2;
if ((*p1 & 0xF0) == 0xE0)
@@ -276,7 +276,7 @@ struct putf8_traits
static code_t code(const mem_t *p) noexcept
{
- const auto p1 = reinterpret_cast<const unsigned char *>(p);
+ const auto *p1 = reinterpret_cast<const unsigned char *>(p);
if ((*p1 & 0x80) == 0x00)
return *p1;
if ((*p1 & 0xE0) == 0xC0)
diff --git a/src/lib/netlist/plib/ptokenizer.cpp b/src/lib/netlist/plib/ptokenizer.cpp
index 49b6985cc16..6743aef2602 100644
--- a/src/lib/netlist/plib/ptokenizer.cpp
+++ b/src/lib/netlist/plib/ptokenizer.cpp
@@ -157,7 +157,7 @@ namespace plib {
bool benter(false);
bool bexit(false);
pstring file;
- unsigned lineno;
+ unsigned lineno(0);
ret = get_token_internal();
if (!ret.is_type(token_type::NUMBER))
@@ -251,7 +251,8 @@ namespace plib {
token_t(id->second, tokstr)
: token_t(token_type::IDENTIFIER, tokstr);
}
- else if (c == m_string)
+
+ if (c == m_string)
{
pstring tokstr = "";
c = getc();
diff --git a/src/lib/netlist/plib/putil.h b/src/lib/netlist/plib/putil.h
index 01dd66e5f91..3ddf4a81f5a 100644
--- a/src/lib/netlist/plib/putil.h
+++ b/src/lib/netlist/plib/putil.h
@@ -198,7 +198,7 @@ namespace plib
{
for (auto &s : m_collection)
{
- auto source(dynamic_cast<S *>(s.get()));
+ auto *source(dynamic_cast<S *>(s.get()));
if (source)
{
auto strm = source->stream(name);
@@ -214,7 +214,7 @@ namespace plib
{
for (auto &s : m_collection)
{
- auto source(dynamic_cast<S *>(s.get()));
+ auto *source(dynamic_cast<S *>(s.get()));
if (source)
{
if (lambda(source))
diff --git a/src/lib/netlist/prg/nltool.cpp b/src/lib/netlist/prg/nltool.cpp
index 579675ee8f8..7e093cd3909 100644
--- a/src/lib/netlist/prg/nltool.cpp
+++ b/src/lib/netlist/prg/nltool.cpp
@@ -212,10 +212,10 @@ public:
{
// read the netlist ...
- for (auto & d : defines)
+ for (const auto & d : defines)
setup().add_define(d);
- for (auto & r : roms)
+ for (const auto & r : roms)
setup().register_source(plib::make_unique<netlist_data_folder_t>(r));
#if 0
@@ -236,7 +236,7 @@ public:
setup().add_include(plib::make_unique<a>("netlist/devices/net_lib.h",""));
#endif
#endif
- for (auto & i : includes)
+ for (const auto & i : includes)
setup().add_include(plib::make_unique<netlist_data_folder_t>(i));
setup().register_source(plib::make_unique<netlist::source_file_t>(filename));
@@ -329,7 +329,7 @@ struct input_t
m_param = setup.find_param(pstring(buf.data()), true);
}
- void setparam()
+ void setparam() const
{
switch (m_param->param_type())
{
diff --git a/src/lib/netlist/prg/nlwav.cpp b/src/lib/netlist/prg/nlwav.cpp
index ed5f1b82b54..de65fc39552 100644
--- a/src/lib/netlist/prg/nlwav.cpp
+++ b/src/lib/netlist/prg/nlwav.cpp
@@ -612,7 +612,7 @@ int nlwav_app::execute()
return 0;
}
- for (auto &oi: opt_args())
+ for (const auto &oi: opt_args())
{
plib::unique_ptr<std::istream> fin;
if (oi == "-")
diff --git a/src/lib/netlist/solver/nld_matrix_solver.cpp b/src/lib/netlist/solver/nld_matrix_solver.cpp
index f6d9c0c579b..ecf4bdb0042 100644
--- a/src/lib/netlist/solver/nld_matrix_solver.cpp
+++ b/src/lib/netlist/solver/nld_matrix_solver.cpp
@@ -69,7 +69,7 @@ namespace solver
m_terms.clear();
- for (auto & net : nets)
+ for (const auto & net : nets)
{
m_terms.emplace_back(net);
m_rails_temp.emplace_back();
diff --git a/src/lib/netlist/solver/nld_matrix_solver.h b/src/lib/netlist/solver/nld_matrix_solver.h
index a6b3c6774fd..a322aec478e 100644
--- a/src/lib/netlist/solver/nld_matrix_solver.h
+++ b/src/lib/netlist/solver/nld_matrix_solver.h
@@ -201,7 +201,7 @@ namespace solver
}
// return number of floating point operations for solve
- std::size_t ops() { return m_ops; }
+ std::size_t ops() const { return m_ops; }
protected:
template <typename T>
@@ -263,7 +263,6 @@ namespace solver
void set_pointers();
- private:
analog_net_t *get_connected_net(terminal_t *term);
};
diff --git a/src/lib/netlist/solver/nld_solver.cpp b/src/lib/netlist/solver/nld_solver.cpp
index 2d003354455..47c585bd14a 100644
--- a/src/lib/netlist/solver/nld_solver.cpp
+++ b/src/lib/netlist/solver/nld_solver.cpp
@@ -284,7 +284,7 @@ namespace devices
if (n.isRailNet())
return true;
// if it's already processed - no need to continue
- for (auto & grp : groups)
+ for (const auto & grp : groups)
if (plib::container::contains(grp, &n))
return true;
return false;
diff --git a/src/lib/netlist/tools/nl_convert.cpp b/src/lib/netlist/tools/nl_convert.cpp
index af959bc4112..9a28b4c00b8 100644
--- a/src/lib/netlist/tools/nl_convert.cpp
+++ b/src/lib/netlist/tools/nl_convert.cpp
@@ -185,7 +185,7 @@ void nl_convert_base_t::add_term(const pstring &netname, const pstring &devname,
void nl_convert_base_t::add_device_extra_s(const pstring &devname, const pstring &extra)
{
- auto dev = get_device(devname);
+ auto *dev = get_device(devname);
if (dev == nullptr)
out("// ERROR: Device {} not found\n", devname);
else
@@ -260,7 +260,7 @@ void nl_convert_base_t::dump_nl()
else
out("{}({})\n", m_devs[j]->type(),
m_devs[j]->name());
- for (auto &e : m_devs[j]->extra())
+ for (const auto &e : m_devs[j]->extra())
out("{}\n", e);
}
@@ -287,7 +287,7 @@ void nl_convert_base_t::dump_nl()
pstring nl_convert_base_t::get_nl_val(double val) const
{
- for (auto &e : m_units)
+ for (const auto &e : m_units)
{
if (e.m_mult <= plib::abs(val))
{
@@ -305,7 +305,7 @@ pstring nl_convert_base_t::get_nl_val(double val) const
double nl_convert_base_t::get_sp_unit(const pstring &unit) const
{
- for (auto &e : m_units)
+ for (const auto &e : m_units)
{
if (e.m_unit == unit)
return e.m_mult;