summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author Olivier Galibert <galibert@pobox.com>2018-04-21 14:38:09 +0200
committer Olivier Galibert <galibert@pobox.com>2018-04-21 14:41:44 +0200
commit26b61e2be6b529b1244fb17a9f13cd5c51ac05c9 (patch)
treee01c7fc17e1275486398cddcdf38fe1cf96d92ce
parent8620952bd1f0f6a6fe645c428010f9a275915c5b (diff)
Cleanup some AM_RANGE in comments (nw)
-rw-r--r--src/devices/machine/i6300esb.cpp1
-rw-r--r--src/mame/drivers/atarist.cpp54
-rw-r--r--src/mame/drivers/calchase.cpp31
-rw-r--r--src/mame/drivers/goldnpkr.cpp6
-rw-r--r--src/mame/drivers/hprot1.cpp2
-rw-r--r--src/mame/drivers/kaypro.cpp20
-rw-r--r--src/mame/drivers/kdt6.cpp6
-rw-r--r--src/mame/drivers/m20.cpp170
-rw-r--r--src/mame/drivers/mpz80.cpp16
-rw-r--r--src/mame/drivers/mtx.cpp16
-rw-r--r--src/mame/drivers/notetaker.cpp28
-rw-r--r--src/mame/drivers/ptcsol.cpp16
-rw-r--r--src/mame/drivers/qx10.cpp24
-rw-r--r--src/mame/drivers/system16.cpp22
-rw-r--r--src/mame/drivers/xmen.cpp34
-rw-r--r--src/mame/video/igs017_igs031.cpp15
16 files changed, 199 insertions, 262 deletions
diff --git a/src/devices/machine/i6300esb.cpp b/src/devices/machine/i6300esb.cpp
index e038df1c7a5..a3c287d4c33 100644
--- a/src/devices/machine/i6300esb.cpp
+++ b/src/devices/machine/i6300esb.cpp
@@ -77,7 +77,6 @@ void i6300esb_lpc_device::config_map(address_map &map)
void i6300esb_lpc_device::internal_io_map(address_map &map)
{
- ;
if(lpc_en & 0x2000) {
map(0x004e, 0x004e).rw(this, FUNC(i6300esb_lpc_device::siu_config_port_r), FUNC(i6300esb_lpc_device::siu_config_port_w));
map(0x004f, 0x004f).rw(this, FUNC(i6300esb_lpc_device::siu_data_port_r), FUNC(i6300esb_lpc_device::siu_data_port_w));
diff --git a/src/mame/drivers/atarist.cpp b/src/mame/drivers/atarist.cpp
index f148a3fb055..6742aa2dd3b 100644
--- a/src/mame/drivers/atarist.cpp
+++ b/src/mame/drivers/atarist.cpp
@@ -1278,9 +1278,9 @@ void megast_state::megast_map(address_map &map)
map(0x000000, 0x000007).rom().region(M68000_TAG, 0);
map(0x000008, 0x1fffff).ram();
map(0x200000, 0x3fffff).ram();
- //AM_RANGE(0xfa0000, 0xfbffff) // mapped by the cartslot
+ //map(0xfa0000, 0xfbffff) // mapped by the cartslot
map(0xfc0000, 0xfeffff).rom().region(M68000_TAG, 0);
-// AM_RANGE(0xff7f30, 0xff7f31) AM_READWRITE(blitter_dst_inc_y_r, blitter_dst_inc_y_w) // for TOS 1.02
+// map(0xff7f30, 0xff7f31).rw(this, FUNC(megast_state::blitter_dst_inc_y_r), FUNC(megast_state::blitter_dst_inc_y_w) // for TOS 1.02
map(0xff8001, 0xff8001).rw(this, FUNC(megast_state::mmu_r), FUNC(megast_state::mmu_w));
map(0xff8200, 0xff8203).rw(this, FUNC(megast_state::shifter_base_r), FUNC(megast_state::shifter_base_w)).umask16(0x00ff);
map(0xff8204, 0xff8209).r(this, FUNC(megast_state::shifter_counter_r)).umask16(0x00ff);
@@ -1319,30 +1319,6 @@ void megast_state::megast_map(address_map &map)
void ste_state::ste_map(address_map &map)
{
st_map(map);
-/* AM_RANGE(0xe00000, 0xe3ffff) AM_ROM AM_REGION(M68000_TAG, 0)
- AM_RANGE(0xff8204, 0xff8209) AM_READWRITE8(shifter_counter_r, shifter_counter_w, 0x00ff)
- AM_RANGE(0xff820c, 0xff820d) AM_READWRITE8(shifter_base_low_r, shifter_base_low_w, 0x00ff)
- AM_RANGE(0xff820e, 0xff820f) AM_READWRITE8(shifter_lineofs_r, shifter_lineofs_w, 0x00ff)
- AM_RANGE(0xff8264, 0xff8265) AM_READWRITE8(shifter_pixelofs_r, shifter_pixelofs_w, 0xffff)
- AM_RANGE(0xff8900, 0xff8901) AM_READWRITE8(sound_dma_control_r, sound_dma_control_w, 0x00ff)
- AM_RANGE(0xff8902, 0xff8907) AM_READWRITE8(sound_dma_base_r, sound_dma_base_w, 0x00ff)
- AM_RANGE(0xff8908, 0xff890d) AM_READ8(sound_dma_counter_r, 0x00ff)
- AM_RANGE(0xff890e, 0xff8913) AM_READWRITE8(sound_dma_end_r, sound_dma_end_w, 0x00ff)
- AM_RANGE(0xff8920, 0xff8921) AM_READWRITE8(sound_mode_r, sound_mode_w, 0x00ff)
- AM_RANGE(0xff8922, 0xff8923) AM_READWRITE(microwire_data_r, microwire_data_w)
- AM_RANGE(0xff8924, 0xff8925) AM_READWRITE(microwire_mask_r, microwire_mask_w)
- AM_RANGE(0xff8a00, 0xff8a1f) AM_READWRITE(blitter_halftone_r, blitter_halftone_w)
- AM_RANGE(0xff8a20, 0xff8a21) AM_READWRITE(blitter_src_inc_x_r, blitter_src_inc_x_w)
- AM_RANGE(0xff8a22, 0xff8a23) AM_READWRITE(blitter_src_inc_y_r, blitter_src_inc_y_w)
- AM_RANGE(0xff8a24, 0xff8a27) AM_READWRITE(blitter_src_r, blitter_src_w)
- AM_RANGE(0xff8a28, 0xff8a2d) AM_READWRITE(blitter_end_mask_r, blitter_end_mask_w)
- AM_RANGE(0xff8a2e, 0xff8a2f) AM_READWRITE(blitter_dst_inc_x_r, blitter_dst_inc_x_w)
- AM_RANGE(0xff8a30, 0xff8a31) AM_READWRITE(blitter_dst_inc_y_r, blitter_dst_inc_y_w)
- AM_RANGE(0xff8a32, 0xff8a35) AM_READWRITE(blitter_dst_r, blitter_dst_w)
- AM_RANGE(0xff8a36, 0xff8a37) AM_READWRITE(blitter_count_x_r, blitter_count_x_w)
- AM_RANGE(0xff8a38, 0xff8a39) AM_READWRITE(blitter_count_y_r, blitter_count_y_w)
- AM_RANGE(0xff8a3a, 0xff8a3b) AM_READWRITE(blitter_op_r, blitter_op_w)
- AM_RANGE(0xff8a3c, 0xff8a3d) AM_READWRITE(blitter_ctrl_r, blitter_ctrl_w)*/
map(0xff9200, 0xff9201).portr("JOY0");
map(0xff9202, 0xff9203).portr("JOY1");
map(0xff9210, 0xff9211).portr("PADDLE0X");
@@ -1361,32 +1337,6 @@ void ste_state::ste_map(address_map &map)
void megaste_state::megaste_map(address_map &map)
{
st_map(map);
-/* AM_RANGE(0xff8204, 0xff8209) AM_READWRITE(shifter_counter_r, shifter_counter_w)
- AM_RANGE(0xff820c, 0xff820d) AM_READWRITE(shifter_base_low_r, shifter_base_low_w)
- AM_RANGE(0xff820e, 0xff820f) AM_READWRITE(shifter_lineofs_r, shifter_lineofs_w)
- AM_RANGE(0xff8264, 0xff8265) AM_READWRITE(shifter_pixelofs_r, shifter_pixelofs_w)
- AM_RANGE(0xff8900, 0xff8901) AM_READWRITE8(sound_dma_control_r, sound_dma_control_w, 0x00ff)
- AM_RANGE(0xff8902, 0xff8907) AM_READWRITE8(sound_dma_base_r, sound_dma_base_w, 0x00ff)
- AM_RANGE(0xff8908, 0xff890d) AM_READ8(sound_dma_counter_r, 0x00ff)
- AM_RANGE(0xff890e, 0xff8913) AM_READWRITE8(sound_dma_end_r, sound_dma_end_w, 0x00ff)
- AM_RANGE(0xff8920, 0xff8921) AM_READWRITE8(sound_mode_r, sound_mode_w, 0x00ff)
- AM_RANGE(0xff8922, 0xff8923) AM_READWRITE(microwire_data_r, microwire_data_w)
- AM_RANGE(0xff8924, 0xff8925) AM_READWRITE(microwire_mask_r, microwire_mask_w)
- AM_RANGE(0xff8a00, 0xff8a1f) AM_READWRITE(blitter_halftone_r, blitter_halftone_w)
- AM_RANGE(0xff8a20, 0xff8a21) AM_READWRITE(blitter_src_inc_x_r, blitter_src_inc_x_w)
- AM_RANGE(0xff8a22, 0xff8a23) AM_READWRITE(blitter_src_inc_y_r, blitter_src_inc_y_w)
- AM_RANGE(0xff8a24, 0xff8a27) AM_READWRITE(blitter_src_r, blitter_src_w)
- AM_RANGE(0xff8a28, 0xff8a2d) AM_READWRITE(blitter_end_mask_r, blitter_end_mask_w)
- AM_RANGE(0xff8a2e, 0xff8a2f) AM_READWRITE(blitter_dst_inc_x_r, blitter_dst_inc_x_w)
- AM_RANGE(0xff8a30, 0xff8a31) AM_READWRITE(blitter_dst_inc_y_r, blitter_dst_inc_y_w)
- AM_RANGE(0xff8a32, 0xff8a35) AM_READWRITE(blitter_dst_r, blitter_dst_w)
- AM_RANGE(0xff8a36, 0xff8a37) AM_READWRITE(blitter_count_x_r, blitter_count_x_w)
- AM_RANGE(0xff8a38, 0xff8a39) AM_READWRITE(blitter_count_y_r, blitter_count_y_w)
- AM_RANGE(0xff8a3a, 0xff8a3b) AM_READWRITE(blitter_op_r, blitter_op_w)
- AM_RANGE(0xff8a3c, 0xff8a3d) AM_READWRITE(blitter_ctrl_r, blitter_ctrl_w)
- AM_RANGE(0xff8e00, 0xff8e0f) AM_READWRITE(vme_r, vme_w)
- AM_RANGE(0xff8e20, 0xff8e21) AM_READWRITE(cache_r, cache_w)
-// AM_RANGE(0xfffa40, 0xfffa5f) AM_READWRITE(fpu_r, fpu_w)*/
map(0xff8c80, 0xff8c87).rw(Z8530_TAG, FUNC(scc8530_t::reg_r), FUNC(scc8530_t::reg_w)).umask16(0x00ff);
map(0xfffc20, 0xfffc3f).rw(RP5C15_TAG, FUNC(rp5c15_device::read), FUNC(rp5c15_device::write)).umask16(0x00ff);
}
diff --git a/src/mame/drivers/calchase.cpp b/src/mame/drivers/calchase.cpp
index 672929b5b47..c670680e5b2 100644
--- a/src/mame/drivers/calchase.cpp
+++ b/src/mame/drivers/calchase.cpp
@@ -100,7 +100,7 @@ Grull Osgo - Improvements
-Changes about BIOS memory management so ROM Shadow now works properly.
The changes are:
- Rom Memory Map remmapped to 128K size AM_RANGE(0xfffe0000, 0xffffffff) AM_ROM AM_REGION("bios", 0)
+ Rom Memory Map remmapped to 128K size map(0xfffe0000, 0xffffffff).rom().region("bios", 0);
-Changes in mtxc write handler and bios_ram write handler. Now The internal register access are
compatible with chipset VIA.
@@ -393,7 +393,7 @@ void calchase_state::calchase_map(address_map &map)
map(0x000a0000, 0x000bffff).rw("vga", FUNC(trident_vga_device::mem_r), FUNC(trident_vga_device::mem_w)); // VGA VRAM
map(0x000c0000, 0x000c7fff).ram().region("video_bios", 0);
map(0x000c8000, 0x000cffff).noprw();
- //AM_RANGE(0x000d0000, 0x000d0003) AM_RAM // XYLINX - Sincronus serial communication
+ //map(0x000d0000, 0x000d0003).ram(); // XYLINX - Sincronus serial communication
map(0x000d0004, 0x000d0005).r(this, FUNC(calchase_state::calchase_iocard1_r));
map(0x000d000c, 0x000d000d).r(this, FUNC(calchase_state::calchase_iocard2_r));
map(0x000d0032, 0x000d0033).r(this, FUNC(calchase_state::calchase_iocard3_r));
@@ -403,30 +403,27 @@ void calchase_state::calchase_map(address_map &map)
map(0x000d0024, 0x000d0025).w("ldac", FUNC(dac_word_interface::write));
map(0x000d0028, 0x000d0029).w("rdac", FUNC(dac_word_interface::write));
map(0x000d0800, 0x000d0fff).rom().region("nvram", 0); //
-// AM_RANGE(0x000d0800, 0x000d0fff) AM_RAM // GAME_CMOS
+// map(0x000d0800, 0x000d0fff).ram(); // GAME_CMOS
- //GRULL AM_RANGE(0x000e0000, 0x000effff) AM_RAM
- //GRULL-AM_RANGE(0x000f0000, 0x000fffff) AM_ROMBANK("bank1")
- //GRULL AM_RANGE(0x000f0000, 0x000fffff) AM_WRITE(bios_ram_w)
map(0x000e0000, 0x000effff).bankr("bios_ext").w(this, FUNC(calchase_state::bios_ext_ram_w));
map(0x000f0000, 0x000fffff).bankr("bios_bank").w(this, FUNC(calchase_state::bios_ram_w));
map(0x00100000, 0x03ffffff).ram(); // 64MB
map(0x04000000, 0x28ffffff).noprw();
- //AM_RANGE(0x04000000, 0x040001ff) AM_RAM
- //AM_RANGE(0x08000000, 0x080001ff) AM_RAM
- //AM_RANGE(0x0c000000, 0x0c0001ff) AM_RAM
- //AM_RANGE(0x10000000, 0x100001ff) AM_RAM
- //AM_RANGE(0x14000000, 0x140001ff) AM_RAM
- //AM_RANGE(0x18000000, 0x180001ff) AM_RAM
- //AM_RANGE(0x20000000, 0x200001ff) AM_RAM
- //AM_RANGE(0x28000000, 0x280001ff) AM_RAM
+ //map(0x04000000, 0x040001ff).ram();
+ //map(0x08000000, 0x080001ff).ram();
+ //map(0x0c000000, 0x0c0001ff).ram();
+ //map(0x10000000, 0x100001ff).ram();
+ //map(0x14000000, 0x140001ff).ram();
+ //map(0x18000000, 0x180001ff).ram();
+ //map(0x20000000, 0x200001ff).ram();
+ //map(0x28000000, 0x280001ff).ram();
map(0xfffe0000, 0xffffffff).rom().region("bios", 0); /* System BIOS */
}
void calchase_state::calchase_io(address_map &map)
{
pcat32_io_common(map);
- //AM_RANGE(0x00e8, 0x00eb) AM_NOP
+ //map(0x00e8, 0x00eb).noprw();
map(0x00e8, 0x00ef).noprw(); //AMI BIOS write to this ports as delays between I/O ports operations sending al value -> NEWIODELAY
map(0x0170, 0x0177).noprw(); //To debug
map(0x01f0, 0x01f7).rw("ide", FUNC(ide_controller_32_device::read_cs0), FUNC(ide_controller_32_device::write_cs0));
@@ -445,8 +442,8 @@ void calchase_state::calchase_io(address_map &map)
map(0x03d0, 0x03df).rw("vga", FUNC(trident_vga_device::port_03d0_r), FUNC(trident_vga_device::port_03d0_w));
map(0x03e0, 0x03ef).noprw(); //To debug
map(0x0378, 0x037f).noprw(); //To debug
- // AM_RANGE(0x0300, 0x03af) AM_NOP
- // AM_RANGE(0x03b0, 0x03df) AM_NOP
+ // map(0x0300, 0x03af).noprw();
+ // map(0x03b0, 0x03df).noprw();
map(0x03f0, 0x03f7).rw("ide", FUNC(ide_controller_32_device::read_cs1), FUNC(ide_controller_32_device::write_cs1));
map(0x03f8, 0x03ff).noprw(); // To debug Serial Port COM1:
map(0x0a78, 0x0a7b).nopw();//AM_WRITE(pnp_data_w)
diff --git a/src/mame/drivers/goldnpkr.cpp b/src/mame/drivers/goldnpkr.cpp
index 45b07593f31..785b9238576 100644
--- a/src/mame/drivers/goldnpkr.cpp
+++ b/src/mame/drivers/goldnpkr.cpp
@@ -1869,7 +1869,7 @@ void goldnpkr_state::witchcrd_map(address_map &map)
map(0x1000, 0x13ff).ram().w(this, FUNC(goldnpkr_state::goldnpkr_videoram_w)).share("videoram");
map(0x1800, 0x1bff).ram().w(this, FUNC(goldnpkr_state::goldnpkr_colorram_w)).share("colorram");
map(0x2000, 0x2000).portr("SW2");
-// AM_RANGE(0x2108, 0x210b) AM_NOP /* unknown 40-pin device */
+// map(0x2108, 0x210b).noprw(); /* unknown 40-pin device */
map(0x2800, 0x2fff).ram();
map(0x4000, 0x7fff).rom();
}
@@ -4626,8 +4626,8 @@ void blitz_state::megadpkr_map(address_map &map)
/* There is another set of PIAs controlled by the code.
Maybe they are just mirrors...
- AM_RANGE(0x10f4, 0x10f7) AM_DEVREADWRITE("pia0", pia6821_device, read, write)
- AM_RANGE(0x10f8, 0x10fb) AM_DEVREADWRITE("pia1", pia6821_device, read, write)
+ map(0x10f4, 0x10f7).rw("pia0", FUNC(pia6821_device::read), FUNC(pia6821_device::write));
+ map(0x10f8, 0x10fb).rw("pia1", FUNC(pia6821_device::read), FUNC(pia6821_device::write));
*/
map(0x1000, 0x13ff).ram().w(this, FUNC(blitz_state::goldnpkr_videoram_w)).share("videoram");
map(0x1800, 0x1bff).ram().w(this, FUNC(blitz_state::goldnpkr_colorram_w)).share("colorram");
diff --git a/src/mame/drivers/hprot1.cpp b/src/mame/drivers/hprot1.cpp
index 26db5ffc2df..83ab4d4e01b 100644
--- a/src/mame/drivers/hprot1.cpp
+++ b/src/mame/drivers/hprot1.cpp
@@ -136,7 +136,7 @@ void hprot1_state::i80c31_io(address_map &map)
map(0xc020, 0xc020).mirror(0x13cf).r(m_lcdc, FUNC(hd44780_device::control_read));
map(0xc030, 0xc030).mirror(0x13cf).r(m_lcdc, FUNC(hd44780_device::data_read));
/*TODO: attach the watchdog/brownout reset device:
- AM_RANGE(0xe000,0xe0??) AM_MIRROR(?) AM_DEVREAD("adm965an", adm965an_device, data_read) */
+ map(0xe000,0xe0??).mirror(?).r("adm965an", FUNC(adm965an_device::data_read)); */
}
static INPUT_PORTS_START( hprot1 )
diff --git a/src/mame/drivers/kaypro.cpp b/src/mame/drivers/kaypro.cpp
index 14de77daeb8..05ea1ce4fab 100644
--- a/src/mame/drivers/kaypro.cpp
+++ b/src/mame/drivers/kaypro.cpp
@@ -99,16 +99,16 @@ void kaypro_state::kaypro484_io(address_map &map)
map(0x1f, 0x1f).rw(this, FUNC(kaypro_state::kaypro484_videoram_r), FUNC(kaypro_state::kaypro484_videoram_w));
/* The below are not emulated */
-/* AM_RANGE(0x20, 0x23) AM_DEVREADWRITE("z80pio", kaypro484_pio_r, kaypro484_pio_w) - for RTC and Modem
- AM_RANGE(0x24, 0x27) communicate with MM58167A RTC. Modem uses TMS99531 and TMS99532 chips.
- AM_RANGE(0x80, 0x80) Hard drive controller card I/O port - 10MB hard drive only fitted to the Kaypro 10
- AM_RANGE(0x81, 0x81) Hard Drive READ error register, WRITE precomp
- AM_RANGE(0x82, 0x82) Hard Drive Sector register count I/O
- AM_RANGE(0x83, 0x83) Hard Drive Sector register number I/O
- AM_RANGE(0x84, 0x84) Hard Drive Cylinder low register I/O
- AM_RANGE(0x85, 0x85) Hard Drive Cylinder high register I/O
- AM_RANGE(0x86, 0x86) Hard Drive Size / Drive / Head register I/O
- AM_RANGE(0x87, 0x87) Hard Drive READ status register, WRITE command register */
+/* map(0x20, 0x23).rw("z80pio", FUNC(z80pio_device::kaypro484_pio_r), FUNC(z80pio_device::kaypro484_pio_w)) - for RTC and Modem
+ map(0x24, 0x27) communicate with MM58167A RTC. Modem uses TMS99531 and TMS99532 chips.
+ map(0x80, 0x80) Hard drive controller card I/O port - 10MB hard drive only fitted to the Kaypro 10
+ map(0x81, 0x81) Hard Drive READ error register, WRITE precomp
+ map(0x82, 0x82) Hard Drive Sector register count I/O
+ map(0x83, 0x83) Hard Drive Sector register number I/O
+ map(0x84, 0x84) Hard Drive Cylinder low register I/O
+ map(0x85, 0x85) Hard Drive Cylinder high register I/O
+ map(0x86, 0x86) Hard Drive Size / Drive / Head register I/O
+ map(0x87, 0x87) Hard Drive READ status register, WRITE command register */
map(0x20, 0x86).noprw();
map(0x87, 0x87).r(this, FUNC(kaypro_state::kaypro484_87_r));
}
diff --git a/src/mame/drivers/kdt6.cpp b/src/mame/drivers/kdt6.cpp
index ed8a6bc0138..f60af6c1ddc 100644
--- a/src/mame/drivers/kdt6.cpp
+++ b/src/mame/drivers/kdt6.cpp
@@ -197,9 +197,9 @@ void kdt6_state::psi98_io(address_map &map)
map(0x3b, 0x3b).rw(this, FUNC(kdt6_state::sasi_ctrl_r), FUNC(kdt6_state::sasi_ctrl_w));
map(0x3c, 0x3c).w(this, FUNC(kdt6_state::dma_map_w));
#if 0
- AM_RANGE(0x3d, 0x3d) WATCHDOG
- AM_RANGE(0x3e, 0x3e) WATCHDOG TRIGGER
- AM_RANGE(0x3f, 0x3f) SASI DATA
+ map(0x3d, 0x3d) WATCHDOG
+ map(0x3e, 0x3e) WATCHDOG TRIGGER
+ map(0x3f, 0x3f) SASI DATA
#endif
map(0x40, 0x40).w(this, FUNC(kdt6_state::video_address_latch_high_w));
map(0x41, 0x41).w(this, FUNC(kdt6_state::video_address_latch_low_w));
diff --git a/src/mame/drivers/m20.cpp b/src/mame/drivers/m20.cpp
index 70b812d7891..8713a796516 100644
--- a/src/mame/drivers/m20.cpp
+++ b/src/mame/drivers/m20.cpp
@@ -432,9 +432,9 @@ void m20_state::install_memory()
/* DRAM1, 32K */
/* prog
- AM_RANGE( 0x2c000, 0x2ffff ) AM_RAM AM_SHARE("dram1_0000")
- AM_RANGE( 0x88000, 0x8bfff ) AM_RAM AM_SHARE("dram1_4000")
- AM_RANGE( 0xa8000, 0xabfff ) AM_RAM AM_SHARE("dram1_4000")
+ map( 0x2c000, 0x2ffff ).ram().share("dram1_0000");
+ map( 0x88000, 0x8bfff ).ram().share("dram1_4000");
+ map( 0xa8000, 0xabfff ).ram().share("dram1_4000");
*/
pspace.install_readwrite_bank(0x2c000, 0x2ffff, 0, "dram1_0000");
pspace.install_readwrite_bank(0x88000, 0x8bfff, 0, "dram1_4000");
@@ -442,10 +442,10 @@ void m20_state::install_memory()
/*
data
- AM_RANGE( 0x04000, 0x07fff ) AM_RAM AM_SHARE("dram1_4000")
- AM_RANGE( 0x1c000, 0x1ffff ) AM_RAM AM_SHARE("dram1_0000")
- AM_RANGE( 0x2c000, 0x2ffff ) AM_RAM AM_SHARE("dram1_0000")
- AM_RANGE( 0xa8000, 0xabfff ) AM_RAM AM_SHARE("dram1_4000")
+ map( 0x04000, 0x07fff ).ram().share("dram1_4000");
+ map( 0x1c000, 0x1ffff ).ram().share("dram1_0000");
+ map( 0x2c000, 0x2ffff ).ram().share("dram1_0000");
+ map( 0xa8000, 0xabfff ).ram().share("dram1_4000");
*/
dspace.install_readwrite_bank(0x4000, 0x7fff, 0, "dram1_4000");
dspace.install_readwrite_bank(0x1c000, 0x1ffff, 0, "dram1_0000");
@@ -459,20 +459,20 @@ void m20_state::install_memory()
/* DRAM2, 32K */
/* prog
- AM_RANGE( 0x8c000, 0x8ffff ) AM_RAM AM_SHARE("dram2_0000")
- AM_RANGE( 0x98000, 0x9bfff ) AM_RAM AM_SHARE("dram2_4000")
- AM_RANGE( 0xac000, 0xaffff ) AM_RAM AM_SHARE("dram2_0000")
+ map( 0x8c000, 0x8ffff ).ram().share("dram2_0000");
+ map( 0x98000, 0x9bfff ).ram().share("dram2_4000");
+ map( 0xac000, 0xaffff ).ram().share("dram2_0000");
*/
pspace.install_readwrite_bank(0x8c000, 0x8ffff, 0, "dram2_0000");
pspace.install_readwrite_bank(0x98000, 0x9bfff, 0, "dram2_4000");
pspace.install_readwrite_bank(0xac000, 0xaffff, 0, "dram2_0000");
/* data
- AM_RANGE( 0x08000, 0x0bfff ) AM_RAM AM_SHARE("dram2_0000")
- AM_RANGE( 0x0c000, 0x0ffff ) AM_RAM AM_SHARE("dram2_4000")
- AM_RANGE( 0x88000, 0x8bfff ) AM_RAM AM_SHARE("dram2_4000")
- AM_RANGE( 0x98000, 0x9bfff ) AM_RAM AM_SHARE("dram2_4000")
- AM_RANGE( 0xac000, 0xaffff ) AM_RAM AM_SHARE("dram2_0000")
+ map( 0x08000, 0x0bfff ).ram().share("dram2_0000");
+ map( 0x0c000, 0x0ffff ).ram().share("dram2_4000");
+ map( 0x88000, 0x8bfff ).ram().share("dram2_4000");
+ map( 0x98000, 0x9bfff ).ram().share("dram2_4000");
+ map( 0xac000, 0xaffff ).ram().share("dram2_0000");
*/
dspace.install_readwrite_bank(0x8000, 0xbfff, 0, "dram2_0000");
dspace.install_readwrite_bank(0xc000, 0xffff, 0, "dram2_4000");
@@ -487,19 +487,19 @@ void m20_state::install_memory()
/* DRAM3, 32K */
/* prog
- AM_RANGE( 0x9c000, 0x9ffff ) AM_RAM AM_SHARE("dram3_0000")
- AM_RANGE( 0xb0000, 0xb3fff ) AM_RAM AM_SHARE("dram3_4000")
+ map( 0x9c000, 0x9ffff ).ram().share("dram3_0000");
+ map( 0xb0000, 0xb3fff ).ram().share("dram3_4000");
*/
pspace.install_readwrite_bank(0x9c000, 0x9ffff, 0, "dram3_0000");
pspace.install_readwrite_bank(0xb0000, 0xb3fff, 0, "dram3_4000");
/* data
- AM_RANGE( 0x44000, 0x47fff ) AM_RAM AM_SHARE("dram3_0000")
- AM_RANGE( 0x48000, 0x4bfff ) AM_RAM AM_SHARE("dram3_4000")
- AM_RANGE( 0x8c000, 0x8ffff ) AM_RAM AM_SHARE("dram3_0000")
- AM_RANGE( 0x9c000, 0x9ffff ) AM_RAM AM_SHARE("dram3_0000")
- AM_RANGE( 0xb0000, 0xb3fff ) AM_RAM AM_SHARE("dram3_4000")
- AM_RANGE( 0xc0000, 0xc3fff ) AM_RAM AM_SHARE("dram3_4000")
+ map( 0x44000, 0x47fff ).ram().share("dram3_0000");
+ map( 0x48000, 0x4bfff ).ram().share("dram3_4000");
+ map( 0x8c000, 0x8ffff ).ram().share("dram3_0000");
+ map( 0x9c000, 0x9ffff ).ram().share("dram3_0000");
+ map( 0xb0000, 0xb3fff ).ram().share("dram3_4000");
+ map( 0xc0000, 0xc3fff ).ram().share("dram3_4000");
*/
dspace.install_readwrite_bank(0x44000, 0x47fff, 0, "dram3_0000");
dspace.install_readwrite_bank(0x48000, 0x4bfff, 0, "dram3_4000");
@@ -518,16 +518,16 @@ void m20_state::install_memory()
/* DRAM1, 128K */
/* prog
- AM_RANGE( 0x2c000, 0x2ffff ) AM_RAM AM_SHARE("dram1_0000")
- AM_RANGE( 0x88000, 0x8bfff ) AM_RAM AM_SHARE("dram1_4000")
- AM_RANGE( 0x8c000, 0x8ffff ) AM_RAM AM_SHARE("dram1_8000")
- AM_RANGE( 0x98000, 0x9bfff ) AM_RAM AM_SHARE("dram1_c000")
- AM_RANGE( 0x9c000, 0x9ffff ) AM_RAM AM_SHARE("dram1_10000")
- AM_RANGE( 0xa8000, 0xabfff ) AM_RAM AM_SHARE("dram1_4000")
- AM_RANGE( 0xac000, 0xaffff ) AM_RAM AM_SHARE("dram1_8000")
- AM_RANGE( 0xb0000, 0xb3fff ) AM_RAM AM_SHARE("dram1_14000")
- AM_RANGE( 0xb4000, 0xb7fff ) AM_RAM AM_SHARE("dram1_18000")
- AM_RANGE( 0xb8000, 0xbbfff ) AM_RAM AM_SHARE("dram1_1c000")
+ map( 0x2c000, 0x2ffff ).ram().share("dram1_0000");
+ map( 0x88000, 0x8bfff ).ram().share("dram1_4000");
+ map( 0x8c000, 0x8ffff ).ram().share("dram1_8000");
+ map( 0x98000, 0x9bfff ).ram().share("dram1_c000");
+ map( 0x9c000, 0x9ffff ).ram().share("dram1_10000");
+ map( 0xa8000, 0xabfff ).ram().share("dram1_4000");
+ map( 0xac000, 0xaffff ).ram().share("dram1_8000");
+ map( 0xb0000, 0xb3fff ).ram().share("dram1_14000");
+ map( 0xb4000, 0xb7fff ).ram().share("dram1_18000");
+ map( 0xb8000, 0xbbfff ).ram().share("dram1_1c000");
*/
pspace.install_readwrite_bank(0x2c000, 0x2ffff, 0, "dram1_0000");
pspace.install_readwrite_bank(0x88000, 0x8bfff, 0, "dram1_4000");
@@ -541,18 +541,18 @@ void m20_state::install_memory()
pspace.install_readwrite_bank(0xb8000, 0xbbfff, 0, "dram1_1c000");
/* data
- AM_RANGE( 0x04000, 0x07fff ) AM_RAM AM_SHARE("dram1_4000")
- AM_RANGE( 0x1c000, 0x1ffff ) AM_RAM AM_SHARE("dram1_0000")
- AM_RANGE( 0x2c000, 0x2ffff ) AM_RAM AM_SHARE("dram1_0000")
- AM_RANGE( 0x88000, 0x8bfff ) AM_RAM AM_SHARE("dram1_c000")
- AM_RANGE( 0x8c000, 0x8ffff ) AM_RAM AM_SHARE("dram1_10000")
- AM_RANGE( 0x98000, 0x9bfff ) AM_RAM AM_SHARE("dram1_c000")
- AM_RANGE( 0x9c000, 0x9ffff ) AM_RAM AM_SHARE("dram1_10000")
- AM_RANGE( 0xa8000, 0xabfff ) AM_RAM AM_SHARE("dram1_4000")
- AM_RANGE( 0xac000, 0xaffff ) AM_RAM AM_SHARE("dram1_8000")
- AM_RANGE( 0xb0000, 0xb3fff ) AM_RAM AM_SHARE("dram1_14000")
- AM_RANGE( 0xb4000, 0xb7fff ) AM_RAM AM_SHARE("dram1_18000")
- AM_RANGE( 0xb8000, 0xbbfff ) AM_RAM AM_SHARE("dram1_1c000")
+ map( 0x04000, 0x07fff ).ram().share("dram1_4000");
+ map( 0x1c000, 0x1ffff ).ram().share("dram1_0000");
+ map( 0x2c000, 0x2ffff ).ram().share("dram1_0000");
+ map( 0x88000, 0x8bfff ).ram().share("dram1_c000");
+ map( 0x8c000, 0x8ffff ).ram().share("dram1_10000");
+ map( 0x98000, 0x9bfff ).ram().share("dram1_c000");
+ map( 0x9c000, 0x9ffff ).ram().share("dram1_10000");
+ map( 0xa8000, 0xabfff ).ram().share("dram1_4000");
+ map( 0xac000, 0xaffff ).ram().share("dram1_8000");
+ map( 0xb0000, 0xb3fff ).ram().share("dram1_14000");
+ map( 0xb4000, 0xb7fff ).ram().share("dram1_18000");
+ map( 0xb8000, 0xbbfff ).ram().share("dram1_1c000");
*/
dspace.install_readwrite_bank(0x4000, 0x7fff, 0, "dram1_4000");
dspace.install_readwrite_bank(0x1c000, 0x1ffff, 0, "dram1_0000");
@@ -580,16 +580,16 @@ void m20_state::install_memory()
/* DRAM2, 128K */
/* prog
- AM_RANGE( 0xbc000, 0xbffff ) AM_RAM AM_SHARE("dram2_0000")
+ map( 0xbc000, 0xbffff ).ram().share("dram2_0000");
- AM_RANGE( 0xc0000, 0xc3fff ) AM_RAM AM_SHARE("dram2_4000")
- AM_RANGE( 0xc4000, 0xc7fff ) AM_RAM AM_SHARE("dram2_8000")
- AM_RANGE( 0xc8000, 0xcbfff ) AM_RAM AM_SHARE("dram2_c000")
- AM_RANGE( 0xcc000, 0xcffff ) AM_RAM AM_SHARE("dram2_10000")
+ map( 0xc0000, 0xc3fff ).ram().share("dram2_4000");
+ map( 0xc4000, 0xc7fff ).ram().share("dram2_8000");
+ map( 0xc8000, 0xcbfff ).ram().share("dram2_c000");
+ map( 0xcc000, 0xcffff ).ram().share("dram2_10000");
- AM_RANGE( 0xd0000, 0xd3fff ) AM_RAM AM_SHARE("dram2_14000")
- AM_RANGE( 0xd4000, 0xd7fff ) AM_RAM AM_SHARE("dram2_18000")
- AM_RANGE( 0xd8000, 0xdbfff ) AM_RAM AM_SHARE("dram2_1c000")
+ map( 0xd0000, 0xd3fff ).ram().share("dram2_14000");
+ map( 0xd4000, 0xd7fff ).ram().share("dram2_18000");
+ map( 0xd8000, 0xdbfff ).ram().share("dram2_1c000");
*/
pspace.install_readwrite_bank(0xbc000, 0xbffff, 0, "dram2_0000");
pspace.install_readwrite_bank(0xc0000, 0xc3fff, 0, "dram2_4000");
@@ -601,19 +601,19 @@ void m20_state::install_memory()
pspace.install_readwrite_bank(0xd8000, 0xdbfff, 0, "dram2_1c000");
/* data
- AM_RANGE( 0x08000, 0x0bfff ) AM_RAM AM_SHARE("dram2_0000")
- AM_RANGE( 0x0c000, 0x0ffff ) AM_RAM AM_SHARE("dram2_4000")
+ map( 0x08000, 0x0bfff ).ram().share("dram2_0000");
+ map( 0x0c000, 0x0ffff ).ram().share("dram2_4000");
- AM_RANGE( 0xbc000, 0xbffff ) AM_RAM AM_SHARE("dram2_0000")
+ map( 0xbc000, 0xbffff ).ram().share("dram2_0000");
- AM_RANGE( 0xc0000, 0xc3fff ) AM_RAM AM_SHARE("dram2_4000")
- AM_RANGE( 0xc4000, 0xc7fff ) AM_RAM AM_SHARE("dram2_8000")
- AM_RANGE( 0xc8000, 0xcbfff ) AM_RAM AM_SHARE("dram2_c000")
- AM_RANGE( 0xcc000, 0xcffff ) AM_RAM AM_SHARE("dram2_10000")
+ map( 0xc0000, 0xc3fff ).ram().share("dram2_4000");
+ map( 0xc4000, 0xc7fff ).ram().share("dram2_8000");
+ map( 0xc8000, 0xcbfff ).ram().share("dram2_c000");
+ map( 0xcc000, 0xcffff ).ram().share("dram2_10000");
- AM_RANGE( 0xd0000, 0xd3fff ) AM_RAM AM_SHARE("dram2_14000")
- AM_RANGE( 0xd4000, 0xd7fff ) AM_RAM AM_SHARE("dram2_18000")
- AM_RANGE( 0xd8000, 0xdbfff ) AM_RAM AM_SHARE("dram2_1c000")
+ map( 0xd0000, 0xd3fff ).ram().share("dram2_14000");
+ map( 0xd4000, 0xd7fff ).ram().share("dram2_18000");
+ map( 0xd8000, 0xdbfff ).ram().share("dram2_1c000");
*/
dspace.install_readwrite_bank(0x8000, 0xbfff, 0, "dram2_0000");
dspace.install_readwrite_bank(0xc000, 0xffff, 0, "dram2_4000");
@@ -639,17 +639,17 @@ void m20_state::install_memory()
/* DRAM3, 128K */
/* prog
- AM_RANGE( 0xdc000, 0xdffff ) AM_RAM AM_SHARE("dram3_0000")
+ map( 0xdc000, 0xdffff ).ram().share("dram3_0000");
- AM_RANGE( 0xe0000, 0xe3fff ) AM_RAM AM_SHARE("dram3_4000")
- AM_RANGE( 0xe4000, 0xe7fff ) AM_RAM AM_SHARE("dram3_8000")
- AM_RANGE( 0xe8000, 0xebfff ) AM_RAM AM_SHARE("dram3_c000")
- AM_RANGE( 0xec000, 0xeffff ) AM_RAM AM_SHARE("dram3_10000")
+ map( 0xe0000, 0xe3fff ).ram().share("dram3_4000");
+ map( 0xe4000, 0xe7fff ).ram().share("dram3_8000");
+ map( 0xe8000, 0xebfff ).ram().share("dram3_c000");
+ map( 0xec000, 0xeffff ).ram().share("dram3_10000");
- AM_RANGE( 0xf0000, 0xf3fff ) AM_RAM AM_SHARE("dram3_14000")
- AM_RANGE( 0xf4000, 0xf7fff ) AM_RAM AM_SHARE("dram3_18000")
- AM_RANGE( 0xf8000, 0xfbfff ) AM_RAM AM_SHARE("dram3_1c000")
- AM_RANGE( 0xfc000, 0xfffff ) AM_RAM AM_SHARE("dram3_0000")
+ map( 0xf0000, 0xf3fff ).ram().share("dram3_14000");
+ map( 0xf4000, 0xf7fff ).ram().share("dram3_18000");
+ map( 0xf8000, 0xfbfff ).ram().share("dram3_1c000");
+ map( 0xfc000, 0xfffff ).ram().share("dram3_0000");
*/
pspace.install_readwrite_bank(0xdc000, 0xdffff, 0, "dram3_0000");
pspace.install_readwrite_bank(0xe0000, 0xe3fff, 0, "dram3_4000");
@@ -662,19 +662,19 @@ void m20_state::install_memory()
pspace.install_readwrite_bank(0xfc000, 0xfffff, 0, "dram3_0000");
/* data
- AM_RANGE( 0x44000, 0x47fff ) AM_RAM AM_SHARE("dram3_0000")
- AM_RANGE( 0x48000, 0x4bfff ) AM_RAM AM_SHARE("dram3_4000")
- AM_RANGE( 0xdc000, 0xdffff ) AM_RAM AM_SHARE("dram3_0000")
-
- AM_RANGE( 0xe0000, 0xe3fff ) AM_RAM AM_SHARE("dram3_4000")
- AM_RANGE( 0xe4000, 0xe7fff ) AM_RAM AM_SHARE("dram3_8000")
- AM_RANGE( 0xe8000, 0xebfff ) AM_RAM AM_SHARE("dram3_c000")
- AM_RANGE( 0xec000, 0xeffff ) AM_RAM AM_SHARE("dram3_10000")
-
- AM_RANGE( 0xf0000, 0xf3fff ) AM_RAM AM_SHARE("dram3_14000")
- AM_RANGE( 0xf4000, 0xf7fff ) AM_RAM AM_SHARE("dram3_18000")
- AM_RANGE( 0xf8000, 0xfbfff ) AM_RAM AM_SHARE("dram3_1c000")
- AM_RANGE( 0xfc000, 0xfffff ) AM_RAM AM_SHARE("dram3_0000")
+ map( 0x44000, 0x47fff ).ram().share("dram3_0000");
+ map( 0x48000, 0x4bfff ).ram().share("dram3_4000");
+ map( 0xdc000, 0xdffff ).ram().share("dram3_0000");
+
+ map( 0xe0000, 0xe3fff ).ram().share("dram3_4000");
+ map( 0xe4000, 0xe7fff ).ram().share("dram3_8000");
+ map( 0xe8000, 0xebfff ).ram().share("dram3_c000");
+ map( 0xec000, 0xeffff ).ram().share("dram3_10000");
+
+ map( 0xf0000, 0xf3fff ).ram().share("dram3_14000");
+ map( 0xf4000, 0xf7fff ).ram().share("dram3_18000");
+ map( 0xf8000, 0xfbfff ).ram().share("dram3_1c000");
+ map( 0xfc000, 0xfffff ).ram().share("dram3_0000");
*/
dspace.install_readwrite_bank(0x44000, 0x47fff, 0, "dram3_0000");
dspace.install_readwrite_bank(0x48000, 0x4bfff, 0, "dram3_4000");
diff --git a/src/mame/drivers/mpz80.cpp b/src/mame/drivers/mpz80.cpp
index 84d192bb28d..d6942709c85 100644
--- a/src/mame/drivers/mpz80.cpp
+++ b/src/mame/drivers/mpz80.cpp
@@ -538,14 +538,14 @@ void mpz80_state::mpz80_mem(address_map &map)
/*
Task 0 Segment 0 map:
- AM_RANGE(0x0000, 0x03ff) AM_RAM
- AM_RANGE(0x0400, 0x0400) AM_READWRITE(trap_addr_r, disp_seg_w)
- AM_RANGE(0x0401, 0x0401) AM_READWRITE(keyboard_r, disp_col_w)
- AM_RANGE(0x0402, 0x0402) AM_READWRITE(switch_r, task_w)
- AM_RANGE(0x0403, 0x0403) AM_READWRITE(status_r, mask_w)
- AM_RANGE(0x0600, 0x07ff) AM_RAM AM_SHARE("map_ram")
- AM_RANGE(0x0800, 0x0bff) AM_ROM AM_REGION(Z80_TAG, 0)
- AM_RANGE(0x0c00, 0x0c00) AM_DEVREADWRITE(AM9512_TAG, am9512_device, read, write)
+ map(0x0000, 0x03ff).ram();
+ map(0x0400, 0x0400).rw(this, FUNC(mpz80_state::trap_addr_r), FUNC(mpz80_state::disp_seg_w));
+ map(0x0401, 0x0401).rw(this, FUNC(mpz80_state::keyboard_r), FUNC(mpz80_state::disp_col_w));
+ map(0x0402, 0x0402).rw(this, FUNC(mpz80_state::switch_r), FUNC(mpz80_state::task_w));
+ map(0x0403, 0x0403).rw(this, FUNC(mpz80_state::status_r), FUNC(mpz80_state::mask_w));
+ map(0x0600, 0x07ff).ram().share("map_ram");
+ map(0x0800, 0x0bff).rom().region(Z80_TAG, 0);
+ map(0x0c00, 0x0c00).rw(AM9512_TAG, FUNC(am9512_device::read), FUNC(am9512_device::write));
*/
}
diff --git a/src/mame/drivers/mtx.cpp b/src/mame/drivers/mtx.cpp
index 720d01cbd70..f62a6fcc64d 100644
--- a/src/mame/drivers/mtx.cpp
+++ b/src/mame/drivers/mtx.cpp
@@ -64,19 +64,19 @@ void mtx_state::mtx_io(address_map &map)
map(0x04, 0x04).r(this, FUNC(mtx_state::mtx_prt_r)).w("cent_data_out", FUNC(output_latch_device::write));
map(0x05, 0x05).rw(this, FUNC(mtx_state::mtx_key_lo_r), FUNC(mtx_state::mtx_sense_w));
map(0x06, 0x06).rw(this, FUNC(mtx_state::mtx_key_hi_r), FUNC(mtx_state::mtx_sound_latch_w));
-// AM_RANGE(0x07, 0x07) PIO
+// map(0x07, 0x07) PIO
map(0x08, 0x0b).rw(m_z80ctc, FUNC(z80ctc_device::read), FUNC(z80ctc_device::write));
map(0x1f, 0x1f).w(this, FUNC(mtx_state::mtx_cst_motor_w));
map(0x30, 0x31).w(this, FUNC(mtx_state::hrx_address_w));
map(0x32, 0x32).rw(this, FUNC(mtx_state::hrx_data_r), FUNC(mtx_state::hrx_data_w));
map(0x33, 0x33).rw(this, FUNC(mtx_state::hrx_attr_r), FUNC(mtx_state::hrx_attr_w));
-// AM_RANGE(0x38, 0x38) AM_DEVWRITE(MC6845_TAG, mc6845_device, address_w)
-// AM_RANGE(0x39, 0x39) AM_DEVWRITE(MC6845_TAG, mc6845_device, register_r, register_w)
-/* AM_RANGE(0x40, 0x43) AM_DEVREADWRITE_LEGACY(FD1791_TAG, wd17xx_r, wd17xx_w)
- AM_RANGE(0x44, 0x44) AM_READWRITE(fdx_status_r, fdx_control_w)
- AM_RANGE(0x45, 0x45) AM_WRITE(fdx_drv_sel_w)
- AM_RANGE(0x46, 0x46) AM_WRITE(fdx_dma_lo_w)
- AM_RANGE(0x47, 0x47) AM_WRITE(fdx_dma_hi_w)*/
+// map(0x38, 0x38).w(MC6845_TAG, FUNC(mc6845_device::address_w));
+// map(0x39, 0x39).rw(MC6845_TAG, FUNC(mc6845_device::register_r), FUNC(mc6845_device::register_w));
+/* map(0x40, 0x43).rw(FD1791_TAG, FUNC(fd1791_device::read), FUNC(fd1791_device::write));
+ map(0x44, 0x44).rw(this, FUNC(mtx_state::fdx_status_r), FUNC(mtx_state::fdx_control_w));
+ map(0x45, 0x45).w(this, FUNC(mtx_state::fdx_drv_sel_w));
+ map(0x46, 0x46).w(this, FUNC(mtx_state::fdx_dma_lo_w));
+ map(0x47, 0x47).w(this, FUNC(mtx_state::fdx_dma_hi_w);*/
}
/*-------------------------------------------------
diff --git a/src/mame/drivers/notetaker.cpp b/src/mame/drivers/notetaker.cpp
index 2e9fe92a731..17f4ef6c115 100644
--- a/src/mame/drivers/notetaker.cpp
+++ b/src/mame/drivers/notetaker.cpp
@@ -541,14 +541,14 @@ BootSeqDone is 1, DisableROM is 1, mem map is entirely RAM or open bus for
void notetaker_state::iop_mem(address_map &map)
{
/*
- AM_RANGE(0x00000, 0x00fff) AM_ROM AM_REGION("iop", 0xff000) // rom is here if either BootSeqDone OR DisableROM are zero. the 1.5 source code and the schematics implies writes here are ignored while rom is enabled; if disablerom is 1 this goes to mainram
- AM_RANGE(0x01000, 0x3ffff) AM_RAM AM_REGION("mainram", 0) // 256k of ram (less 8k), shared between both processors. rom goes here if bootseqdone is 0
+ map(0x00000, 0x00fff).rom().region("iop", 0xff000); // rom is here if either BootSeqDone OR DisableROM are zero. the 1.5 source code and the schematics implies writes here are ignored while rom is enabled; if disablerom is 1 this goes to mainram
+ map(0x01000, 0x3ffff).ram().region("mainram", 0); // 256k of ram (less 8k), shared between both processors. rom goes here if bootseqdone is 0
// note 4000-d5ff is the framebuffer for the screen, in two sets of fields for odd/even interlace?
- AM_RANGE(0xff000, 0xfffe7) AM_ROM AM_REGION("iop", 0xff000) // rom is only banked in here if bootseqdone is 0, so the reset vector is in the proper place. otherwise the memory control regs live at fffe8-fffef
- //AM_RANGE(0xfffea, 0xfffeb) AM_WRITE(cpuCtl_w);
- //AM_RANGE(0xfffec, 0xfffed) AM_READ(parityErrHi_r);
- //AM_RANGE(0xfffee, 0xfffef) AM_READ(parityErrLo_r);
- AM_RANGE(0xffff0, 0xfffff) AM_ROM AM_REGION("iop", 0xffff0)
+ map(0xff000, 0xfffe7).rom().region("iop", 0xff000); // rom is only banked in here if bootseqdone is 0, so the reset vector is in the proper place. otherwise the memory control regs live at fffe8-fffef
+ //map(0xfffea, 0xfffeb).w(this, FUNC(notetaker_state::cpuCtl_w));
+ //map(0xfffec, 0xfffed).r(this, FUNC(notetaker_state::parityErrHi_r));
+ //map(0xfffee, 0xfffef).r(this. FUNC(notetaker_state::parityErrLo_r));
+ map(0xffff0, 0xfffff).rom().region("iop", 0xffff0);
*/
map(0x00000, 0xfffff).rw(this, FUNC(notetaker_state::iop_r), FUNC(notetaker_state::iop_w)); // bypass MAME's memory map system as we need finer grained control
}
@@ -599,7 +599,7 @@ void notetaker_state::iop_io(address_map &map)
map(0x4c, 0x4d).mirror(0x7e10).w(this, FUNC(notetaker_state::KeyDataReset_w)); // kbd uart ddr switch (data reset)
map(0x4e, 0x4f).mirror(0x7e10).w(this, FUNC(notetaker_state::KeyChipReset_w)); // kbd uart reset
map(0x60, 0x61).mirror(0x7e1e).w(this, FUNC(notetaker_state::FIFOReg_w)); // DAC sample and hold and frequency setup
- //AM_RANGE(0xa0, 0xa1) AM_MIRROR(0x7e18) AM_DEVREADWRITE("debug8255", 8255_device, read, write) // debugger board 8255
+ //map(0xa0, 0xa1).mirror(0x7e18).rw("debug8255", FUNC(8255_device::read), FUNC(8255_device::write)); // debugger board 8255
map(0xc0, 0xc1).mirror(0x7e1e).w(this, FUNC(notetaker_state::FIFOBus_w)); // DAC data write to FIFO
map(0x100, 0x101).mirror(0x7e1e).w(this, FUNC(notetaker_state::DiskReg_w)); // I/O register (adc speed, crtc pixel clock and clock enable, +5 and +12v relays for floppy, etc)
map(0x120, 0x127).mirror(0x7e18).rw(m_fdc, FUNC(fd1791_device::read), FUNC(fd1791_device::write)).umask16(0x00ff); // floppy controller
@@ -611,8 +611,8 @@ void notetaker_state::iop_io(address_map &map)
map(0x1aa, 0x1aa).mirror(0x7e10).w(m_eiauart, FUNC(ay31015_device::transmit)); // eia uart data register
map(0x1ac, 0x1ad).mirror(0x7e10).w(this, FUNC(notetaker_state::EIADataReset_w)); // eia uart ddr switch (data reset)
map(0x1ae, 0x1af).mirror(0x7e10).w(this, FUNC(notetaker_state::EIAChipReset_w)); // eia uart reset
- //AM_RANGE(0x1c0, 0x1c1) AM_MIRROR(0x7e1e) AM_READ(SelADCHi_r) // ADC read
- //AM_RANGE(0x1e0, 0x1e1) AM_MIRROR(0x7e1e) AM_READ(CRTSwitch_w) // CRT power enable?
+ //map(0x1c0, 0x1c1).mirror(0x7e1e).r(this, FUNC(notetaker_state::SelADCHi_r)); // ADC read
+ //map(0x1e0, 0x1e1).mirror(0x7e1e).r(this, FUNC(notetaker_state::CRTSwitch_w)); // CRT power enable?
}
/* iop_pic8259 interrupts:
@@ -703,8 +703,8 @@ void notetaker_state::ep_mem(address_map &map)
{
map(0x00000, 0x01fff).mirror(0xc0000).ram(); // actually a banked block of ram, 8kb (4kw)
map(0x02000, 0x3ffff).mirror(0xc0000).ram().region("mainram", 0x2000); // 256k of ram (less 8k), shared between both processors, mirrored 4 times
- //AM_RANGE(0xfffc0, 0xfffdf) AM_MIRROR(0xc0000) AM_READWRITE(proc_illinst_r, proc_illinst_w)
- //AM_RANGE(0xfffe0, 0xfffef) AM_MIRROR(0xc0000) AM_READWRITE(proc_control_r, proc_control_w)
+ //map(0xfffc0, 0xfffdf).mirror(0xc0000).rw(this, FUNC(notetaker_state::proc_illinst_r), FUNC(notetaker_state::proc_illinst_w));
+ //map(0xfffe0, 0xfffef).mirror(0xc0000).rw(this, FUNC(notetaker_state::proc_control_r), FUNC(notetaker_state::proc_control_w));
}
/* note everything in the emulatorcpu's io range is incompletely decoded; so if
@@ -724,9 +724,9 @@ void notetaker_state::ep_io(address_map &map)
{
map.unmap_value_high();
map(0x800, 0x803).mirror(0x07fc).rw(m_ep_pic, FUNC(pic8259_device::read), FUNC(pic8259_device::write)).umask16(0x00ff);
- //AM_RANGE(0x1000, 0x1001) AM_MIRROR(0x07fe) AM_DEVREADWRITE("debug8255", 8255_device, read, write) // debugger board 8255, is this the same one as the iop accesses? or are these two 8255s on separate cards?
+ //map(0x1000, 0x1001) AM_MIRROR(0x07fe) AM_DEVREADWRITE("debug8255", 8255_device, read, write) // debugger board 8255, is this the same one as the iop accesses? or are these two 8255s on separate cards?
map(0x2000, 0x2001).mirror(0x07fe).w(this, FUNC(notetaker_state::EPConReg_w)); // emu processor control reg & leds
- //AM_RANGE(0x4000, 0x4001) AM_MIRROR(0x07fe) AM_WRITE(EmuClearParity_w) // writes here clear the local 8k-ram parity error register
+ //map(0x4000, 0x4001) AM_MIRROR(0x07fe) AM_WRITE(EmuClearParity_w) // writes here clear the local 8k-ram parity error register
}
/* Input ports */
diff --git a/src/mame/drivers/ptcsol.cpp b/src/mame/drivers/ptcsol.cpp
index 2ca57ea6248..8641f2efbc9 100644
--- a/src/mame/drivers/ptcsol.cpp
+++ b/src/mame/drivers/ptcsol.cpp
@@ -446,14 +446,14 @@ void sol20_state::sol20_io(address_map &map)
map(0xfd, 0xfd).rw(this, FUNC(sol20_state::sol20_fd_r), FUNC(sol20_state::sol20_fd_w));
map(0xfe, 0xfe).w(this, FUNC(sol20_state::sol20_fe_w));
map(0xff, 0xff).portr("S2");
-/* AM_RANGE(0xf8, 0xf8) serial status in (bit 6=data av, bit 7=tmbe)
- AM_RANGE(0xf9, 0xf9) serial data in, out
- AM_RANGE(0xfa, 0xfa) general status in (bit 0=keyb data av, bit 1=parin data av, bit 2=parout ready)
- AM_RANGE(0xfb, 0xfb) tape
- AM_RANGE(0xfc, 0xfc) keyboard data in
- AM_RANGE(0xfd, 0xfd) parallel data in, out
- AM_RANGE(0xfe, 0xfe) scroll register
- AM_RANGE(0xff, 0xff) sense switches */
+/* map(0xf8, 0xf8) serial status in (bit 6=data av, bit 7=tmbe)
+ map(0xf9, 0xf9) serial data in, out
+ map(0xfa, 0xfa) general status in (bit 0=keyb data av, bit 1=parin data av, bit 2=parout ready)
+ map(0xfb, 0xfb) tape
+ map(0xfc, 0xfc) keyboard data in
+ map(0xfd, 0xfd) parallel data in, out
+ map(0xfe, 0xfe) scroll register
+ map(0xff, 0xff) sense switches */
}
/* Input ports */
diff --git a/src/mame/drivers/qx10.cpp b/src/mame/drivers/qx10.cpp
index 221fe471367..9492063623e 100644
--- a/src/mame/drivers/qx10.cpp
+++ b/src/mame/drivers/qx10.cpp
@@ -17,17 +17,17 @@
banking:
- 0x1c = 0
- AM_RANGE(0x0000,0x1fff) ROM
- AM_RANGE(0x2000,0xdfff) NOP
- AM_RANGE(0xe000,0xffff) RAM
+ map(0x0000,0x1fff).rom()
+ map(0x2000,0xdfff).noprw()
+ map(0xe000,0xffff).ram()
- 0x1c = 1 0x20 = 1
- AM_RANGE(0x0000,0x7fff) RAM (0x18 selects bank)
- AM_RANGE(0x8000,0x87ff) CMOS
- AM_RANGE(0x8800,0xdfff) NOP or previous bank?
- AM_RANGE(0xe000,0xffff) RAM
+ map(0x0000,0x7fff).ram() (0x18 selects bank)
+ map(0x8000,0x87ff) CMOS
+ map(0x8800,0xdfff).noprw() or previous bank?
+ map(0xe000,0xffff).ram()
- 0x1c = 1 0x20 = 0
- AM_RANGE(0x0000,0xdfff) RAM (0x18 selects bank)
- AM_RANGE(0xe000,0xffff) RAM
+ map(0x0000,0xdfff).ram() (0x18 selects bank)
+ map(0xe000,0xffff).ram()
****************************************************************************/
@@ -568,12 +568,12 @@ void qx10_state::qx10_io(address_map &map)
map(0x30, 0x33).rw(this, FUNC(qx10_state::qx10_30_r), FUNC(qx10_state::fdd_motor_w));
map(0x34, 0x35).m(m_fdc, FUNC(upd765a_device::map));
map(0x38, 0x39).rw(m_hgdc, FUNC(upd7220_device::read), FUNC(upd7220_device::write));
-// AM_RANGE(0x3a, 0x3a) GDC zoom
-// AM_RANGE(0x3b, 0x3b) GDC light pen req
+// map(0x3a, 0x3a) GDC zoom
+// map(0x3b, 0x3b) GDC light pen req
map(0x3c, 0x3d).rw(this, FUNC(qx10_state::mc146818_r), FUNC(qx10_state::mc146818_w));
map(0x40, 0x4f).rw(m_dma_1, FUNC(am9517a_device::read), FUNC(am9517a_device::write));
map(0x50, 0x5f).rw(m_dma_2, FUNC(am9517a_device::read), FUNC(am9517a_device::write));
-// AM_RANGE(0xfc, 0xfd) Multi-Font comms
+// map(0xfc, 0xfd) Multi-Font comms
}
/* Input ports */
diff --git a/src/mame/drivers/system16.cpp b/src/mame/drivers/system16.cpp
index 878e3df56e2..2cfe32a6707 100644
--- a/src/mame/drivers/system16.cpp
+++ b/src/mame/drivers/system16.cpp
@@ -147,7 +147,7 @@ void segas1x_bootleg_state::shinobib_map(address_map &map)
map(0x412000, 0x412fff).ram().share("bg1_tileram");
map(0x440000, 0x440fff).ram().share("sprites");
map(0x840000, 0x840fff).ram().w(this, FUNC(segas1x_bootleg_state::paletteram_w)).share("paletteram");
-// AM_RANGE(0xc40000, 0xc40001) AM_WRITE(sound_command_irq_w)
+// map(0xc40000, 0xc40001).w(this, FUNC(segas1x_bootleg_state::sound_command_irq_w));
map(0xc41000, 0xc41001).portr("SERVICE");
map(0xc41002, 0xc41003).portr("P1");
@@ -1148,7 +1148,7 @@ void segas1x_bootleg_state::shdancbla_map(address_map &map)
map(0xe41002, 0xe41003).portr("P1");
map(0xe41004, 0xe41005).portr("P2");
- //AM_RANGE(0xff8038, 0xff8039) AM_READ(shdancbla_ff8038_r)
+// map(0xff8038, 0xff8039).r(this, FUNC(segas1x_bootleg_state::shdancbla_ff8038_r));
map(0xffc000, 0xffffff).ram();
}
/***************************************************************************
@@ -1290,15 +1290,15 @@ void segas1x_bootleg_state::mwalkbl_map(address_map &map)
map(0x840000, 0x840fff).ram().w(this, FUNC(segas1x_bootleg_state::paletteram_w)).share("paletteram");
/* bootleg video regs */
- /*AM_RANGE(0xc00000, 0xc00001) AM_NOP
- AM_RANGE(0xc00002, 0xc00003) AM_NOP
- AM_RANGE(0xc00004, 0xc00005) AM_NOP // tile bank?
- AM_RANGE(0xc00006, 0xc00007) AM_NOP
- AM_RANGE(0xc44000, 0xc44001) AM_NOP
- AM_RANGE(0xc46000, 0xc46001) AM_NOP
- AM_RANGE(0xc46200, 0xc46201) AM_NOP
- AM_RANGE(0xc46400, 0xc464ff) AM_NOP // scroll?
- AM_RANGE(0xc46500, 0xc465ff) AM_NOP // scroll?
+/* map(0xc00000, 0xc00001).nop();
+ map(0xc00002, 0xc00003).nop();
+ map(0xc00004, 0xc00005).nop(); // tile bank?
+ map(0xc00006, 0xc00007).nop();
+ map(0xc44000, 0xc44001).nop();
+ map(0xc46000, 0xc46001).nop();
+ map(0xc46200, 0xc46201).nop();
+ map(0xc46400, 0xc464ff).nop(); // scroll?
+ map(0xc46500, 0xc465ff).nop(); // scroll?
*/
map(0xc40000, 0xc40001).portr("COINAGE");
diff --git a/src/mame/drivers/xmen.cpp b/src/mame/drivers/xmen.cpp
index 827410a4aa5..704a83874a9 100644
--- a/src/mame/drivers/xmen.cpp
+++ b/src/mame/drivers/xmen.cpp
@@ -128,39 +128,39 @@ void xmen_state::_6p_main_map(address_map &map)
map(0x10a006, 0x10a007).portr("P5_P6");
map(0x10a00c, 0x10a00d).r(m_k053246, FUNC(k053247_device::k053246_word_r)); /* sprites */
map(0x110000, 0x113fff).ram(); /* main RAM */
-/* AM_RANGE(0x18c000, 0x197fff) AM_DEVWRITE("k052109", k052109_device, lsb_w) AM_SHARE("tilemapleft") */
+/* map(0x18c000, 0x197fff).w("k052109", FUNC(k052109_device:lsb_w)).share("tilemapleft"); */
map(0x18c000, 0x197fff).ram().share("tilemapleft"); /* left tilemap (p1,p2,p3 counters) */
map(0x18fa00, 0x18fa01).w(this, FUNC(xmen_state::xmen_18fa00_w));
/*
- AM_RANGE(0x1ac000, 0x1af7ff) AM_READONLY
- AM_RANGE(0x1ac000, 0x1af7ff) AM_WRITEONLY
+ map(0x1ac000, 0x1af7ff).readonly();
+ map(0x1ac000, 0x1af7ff).writeonly();
- AM_RANGE(0x1b0000, 0x1b37ff) AM_READONLY
- AM_RANGE(0x1b0000, 0x1b37ff) AM_WRITEONLY
+ map(0x1b0000, 0x1b37ff).readonly();
+ map(0x1b0000, 0x1b37ff).writeonly();
- AM_RANGE(0x1b4000, 0x1b77ff) AM_READONLY
- AM_RANGE(0x1b4000, 0x1b77ff) AM_WRITEONLY
+ map(0x1b4000, 0x1b77ff).readonly();
+ map(0x1b4000, 0x1b77ff).writeonly();
*/
map(0x1ac000, 0x1b7fff).ram().share("tilemapright"); /* right tilemap */
/* what are the regions below buffers? (used by hw or software?) */
/*
- AM_RANGE(0x1cc000, 0x1cf7ff) AM_READONLY
- AM_RANGE(0x1cc000, 0x1cf7ff) AM_WRITEONLY
+ map(0x1cc000, 0x1cf7ff).readonly();
+ map(0x1cc000, 0x1cf7ff).writeonly();
- AM_RANGE(0x1d0000, 0x1d37ff) AM_READONLY
- AM_RANGE(0x1d0000, 0x1d37ff) AM_WRITEONLY
+ map(0x1d0000, 0x1d37ff).readonly();
+ map(0x1d0000, 0x1d37ff).writeonly();
*/
map(0x1cc000, 0x1d7fff).ram(); /* tilemap ? */
/* whats the stuff below, buffers? */
/*
- AM_RANGE(0x1ec000, 0x1ef7ff) AM_READONLY
- AM_RANGE(0x1ec000, 0x1ef7ff) AM_WRITEONLY
- AM_RANGE(0x1f0000, 0x1f37ff) AM_READONLY
- AM_RANGE(0x1f0000, 0x1f37ff) AM_WRITEONLY
- AM_RANGE(0x1f4000, 0x1f77ff) AM_READONLY
- AM_RANGE(0x1f4000, 0x1f77ff) AM_WRITEONLY
+ map(0x1ec000, 0x1ef7ff).readonly();
+ map(0x1ec000, 0x1ef7ff).writeonly();
+ map(0x1f0000, 0x1f37ff).readonly();
+ map(0x1f0000, 0x1f37ff).writeonly();
+ map(0x1f4000, 0x1f77ff).readonly();
+ map(0x1f4000, 0x1f77ff).writeonly();
*/
map(0x1ec000, 0x1f7fff).ram(); /* tilemap ? */
}
diff --git a/src/mame/video/igs017_igs031.cpp b/src/mame/video/igs017_igs031.cpp
index ce3be5514aa..e38bd6becd7 100644
--- a/src/mame/video/igs017_igs031.cpp
+++ b/src/mame/video/igs017_igs031.cpp
@@ -7,17 +7,8 @@
what's the difference between IGS017 and IGS031? encryption?
-all the known IGS017 / IGS031 games use the following memory map, is the IGS017 / IGS031 providing the interface to the 8255, or is it coincidence?
-
- AM_RANGE( 0x1000, 0x17ff ) AM_RAM AM_SHARE("spriteram")
- AM_RANGE( 0x1800, 0x1bff ) AM_RAM_DEVWRITE("palette", palette_device, write) AM_SHARE("palette")
- AM_RANGE( 0x1c00, 0x1fff ) AM_RAM
- AM_RANGE( 0x2010, 0x2013 ) AM_DEVREAD("ppi8255", i8255_device, read)
- AM_RANGE( 0x2012, 0x2012 ) AM_WRITE(video_disable_w )
- AM_RANGE( 0x2014, 0x2014 ) AM_WRITE(nmi_enable_w )
- AM_RANGE( 0x2015, 0x2015 ) AM_WRITE(irq_enable_w )
- AM_RANGE( 0x4000, 0x5fff ) AM_RAM_WRITE(fg_w ) AM_SHARE("fg_videoram")
- AM_RANGE( 0x6000, 0x7fff ) AM_RAM_WRITE(bg_w ) AM_SHARE("bg_videoram")
+all the known IGS017 / IGS031 games use same memory map, is the IGS017 / IGS031
+providing the interface to the 8255, or is it coincidence?
*/
@@ -31,7 +22,7 @@ all the known IGS017 / IGS031 games use the following memory map, is the IGS017
void igs017_igs031_device::map(address_map &map)
{
map(0x1000, 0x17ff).ram().share("spriteram");
-// AM_RANGE( 0x1800, 0x1bff ) AM_RAM //_DEVWRITE("palette", palette_device, write) AM_SHARE("palette")
+// map(0x1800, 0x1bff).ram() //.w("palette", FUNC(palette_device::write).share("palette");
map(0x1800, 0x1bff).ram().w(this, FUNC(igs017_igs031_device::palram_w)).share("palram");
map(0x1c00, 0x1fff).ram();