summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author Aaron Giles <aaron@aarongiles.com>2009-07-02 07:52:54 +0000
committer Aaron Giles <aaron@aarongiles.com>2009-07-02 07:52:54 +0000
commite60576e96d9b2f78460628971622b6ee2aaee813 (patch)
tree8abd7997264af539cabbd7777f7e3d71414ecd26
parentf9cff0ed71dbb48408b23999dab87e3e7c6e3f4c (diff)
Cleanups and version bump.mame0132u3
-rw-r--r--src/emu/cpu/powerpc/ppcfe.c2
-rw-r--r--src/emu/cpu/tms0980/tms0980.c66
-rw-r--r--src/mame/drivers/cubocd32.c20
-rw-r--r--src/mame/drivers/goldstar.c18
-rw-r--r--src/mame/drivers/konamigx.c10
-rw-r--r--src/mame/drivers/mlanding.c66
-rw-r--r--src/mame/drivers/model3.c16
-rw-r--r--src/mame/drivers/skeetsht.c2
-rw-r--r--src/version.c2
9 files changed, 101 insertions, 101 deletions
diff --git a/src/emu/cpu/powerpc/ppcfe.c b/src/emu/cpu/powerpc/ppcfe.c
index 47ecc200fa6..e73ef555ac5 100644
--- a/src/emu/cpu/powerpc/ppcfe.c
+++ b/src/emu/cpu/powerpc/ppcfe.c
@@ -1256,7 +1256,7 @@ static int describe_instruction_3b(powerpc_state *ppc, UINT32 op, opcode_desc *d
FPSCR_MODIFIED(desc, 4);
return TRUE;
- case 0x19: /* FMULSx - not the same form as FSUB/FADD! */
+ case 0x19: /* FMULSx - not the same form as FSUB/FADD! */
FPR_USED(desc, G_RA(op));
FPR_USED(desc, G_REGC(op));
FPR_MODIFIED(desc, G_RD(op));
diff --git a/src/emu/cpu/tms0980/tms0980.c b/src/emu/cpu/tms0980/tms0980.c
index 5c752de57e2..9a9e6ae6eff 100644
--- a/src/emu/cpu/tms0980/tms0980.c
+++ b/src/emu/cpu/tms0980/tms0980.c
@@ -11,39 +11,39 @@ execution phase. The execution phase takes place at the same time as the fetch
phase of the next instruction. So, during execution there are both fetch and
execution operations taking place. The operation can be split up as follows:
cycle #0
- - Fetch:
- 1. ROM address 0
- - Execute:
- 1. Read RAM
- 2. Clear ALU inputs
- 3. Execute BRANCH/CALL/RETN part #2
- 4. K input valid
+ - Fetch:
+ 1. ROM address 0
+ - Execute:
+ 1. Read RAM
+ 2. Clear ALU inputs
+ 3. Execute BRANCH/CALL/RETN part #2
+ 4. K input valid
cycle #1
- - Fetch:
- 1. ROM address 1
- - Execute:
- 1. Update ALU inputs
+ - Fetch:
+ 1. ROM address 1
+ - Execute:
+ 1. Update ALU inputs
cycle #2
- - Fetch:
- 1. nothing/wait(?)
- - Execute:
- 1. Perform ALU operation
- 2. Write RAM
+ - Fetch:
+ 1. nothing/wait(?)
+ - Execute:
+ 1. Perform ALU operation
+ 2. Write RAM
cycle #3
- - Fetch:
- 1. Fetch/Update PC/RAM address #1
- - Execute:
- 1. Register store part #1
+ - Fetch:
+ 1. Fetch/Update PC/RAM address #1
+ - Execute:
+ 1. Register store part #1
cycle #4
- - Fetch:
- 1. Fetch/Update PC/RAM address #2
- - Execute:
- 1. Register store part #2
+ - Fetch:
+ 1. Fetch/Update PC/RAM address #2
+ - Execute:
+ 1. Register store part #2
cycle #5
- - Fetch:
- 1. Instruction decode
- - Execute:
- 1. Execute BRANCH/CALL/RETN part #1
+ - Fetch:
+ 1. Instruction decode
+ - Execute:
+ 1. Execute BRANCH/CALL/RETN part #1
Instructions built from microinstructions:
@@ -543,7 +543,7 @@ static CPU_EXECUTE( tms0980 )
do
{
-// debugger_instruction_hook( device, ( ( cpustate->pa << 7 ) | cpustate->pc ) << 1 );
+// debugger_instruction_hook( device, ( ( cpustate->pa << 7 ) | cpustate->pc ) << 1 );
cpustate->icount--;
switch( cpustate->subcycle )
{
@@ -731,10 +731,10 @@ static CPU_EXECUTE( tms0980 )
{
cpustate->y = cpustate->adder_result & 0x0F;
}
-// if ( cpustate->decode & M_STSL )
-// {
-// cpustate->status_latch = cpustate->status;
-// }
+// if ( cpustate->decode & M_STSL )
+// {
+// cpustate->status_latch = cpustate->status;
+// }
}
debugger_instruction_hook( device, cpustate->rom_address << 1 );
/* fetch: fetch, update pc, ram address */
diff --git a/src/mame/drivers/cubocd32.c b/src/mame/drivers/cubocd32.c
index 4518ad764b3..b1ffdfbac85 100644
--- a/src/mame/drivers/cubocd32.c
+++ b/src/mame/drivers/cubocd32.c
@@ -41,7 +41,7 @@
ToDo:
- remove the hack needed to make inputs working
- - find proper framerate - cndypuzl requires 60 (resets otherwise), mgnumber requires 50 (resets otherwise)
+ - find proper framerate - cndypuzl requires 60 (resets otherwise), mgnumber requires 50 (resets otherwise)
- settings are not saved
@@ -994,7 +994,7 @@ static INPUT_PORTS_START( mgprem11 )
PORT_DIPSETTING( 0x01, "ERROR!" )
PORT_DIPNAME( 0x50, 0x50, "Setup" ) /* also affects payout values */
PORT_DIPSETTING( 0x50, "Full Tick" )
-// PORT_DIPSETTING( 0x10, "Full Tick" ) /* duplicated setting */
+// PORT_DIPSETTING( 0x10, "Full Tick" ) /* duplicated setting */
PORT_DIPSETTING( 0x40, "104 & 105" )
PORT_DIPSETTING( 0x00, "Full T+C" )
PORT_DIPNAME( 0x20, 0x00, DEF_STR( Unknown ) ) /* tested in dispenser setup when P1B3 is pressed */
@@ -1053,7 +1053,7 @@ static MACHINE_DRIVER_START( cd32 )
MDRV_SCREEN_ADD("screen", RASTER)
MDRV_SCREEN_REFRESH_RATE(59.997) /* needed to boot 'cndypuzl' */
-// MDRV_SCREEN_REFRESH_RATE(50) /* needed to boot 'mgnumber' */
+// MDRV_SCREEN_REFRESH_RATE(50) /* needed to boot 'mgnumber' */
MDRV_SCREEN_VBLANK_TIME(ATTOSECONDS_IN_USEC(0))
MDRV_SCREEN_FORMAT(BITMAP_FORMAT_RGB32)
MDRV_SCREEN_SIZE(512*2, 312)
@@ -1187,7 +1187,7 @@ static void cndypuzl_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w(0x051c02, 0x0000);
+// amiga_chip_ram_w(0x051c02, 0x0000);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
amiga_chip_ram_w(r_A5 - 0x7ebe, 0x0000);
@@ -1204,7 +1204,7 @@ static void haremchl_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w8(0x002907, 0x00);
+// amiga_chip_ram_w8(0x002907, 0x00);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
UINT32 r_A2 = (amiga_chip_ram_r(r_A5 - 0x7f00 + 0) << 16) | (amiga_chip_ram_r(r_A5 - 0x7f00 + 2));
@@ -1222,7 +1222,7 @@ static void lsrquiz_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w8(0x001e1b, 0x00);
+// amiga_chip_ram_w8(0x001e1b, 0x00);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
UINT32 r_A2 = (amiga_chip_ram_r(r_A5 - 0x7fe0 + 0) << 16) | (amiga_chip_ram_r(r_A5 - 0x7fe0 + 2));
@@ -1241,7 +1241,7 @@ static void lsrquiz2_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w8(0x046107, 0x00);
+// amiga_chip_ram_w8(0x046107, 0x00);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
UINT32 r_A2 = (amiga_chip_ram_r(r_A5 - 0x7fdc + 0) << 16) | (amiga_chip_ram_r(r_A5 - 0x7fdc + 2));
@@ -1259,7 +1259,7 @@ static void lasstixx_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w8(0x00281c, 0x00);
+// amiga_chip_ram_w8(0x00281c, 0x00);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
UINT32 r_A2 = (amiga_chip_ram_r(r_A5 - 0x7fa2 + 0) << 16) | (amiga_chip_ram_r(r_A5 - 0x7fa2 + 2));
@@ -1277,7 +1277,7 @@ static void mgnumber_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w(0x04bfa0, 0x0000);
+// amiga_chip_ram_w(0x04bfa0, 0x0000);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
amiga_chip_ram_w(r_A5 - 0x7ed8, 0x0000);
@@ -1294,7 +1294,7 @@ static void mgprem11_input_hack(running_machine *machine)
{
if(cpu_get_pc(machine->cpu[0]) < amiga_chip_ram_size)
{
-// amiga_chip_ram_w8(0x044f7e, 0x00);
+// amiga_chip_ram_w8(0x044f7e, 0x00);
UINT32 r_A5 = cpu_get_reg(machine->cpu[0], M68K_A5);
amiga_chip_ram_w8(r_A5 - 0x7eca, 0x00);
diff --git a/src/mame/drivers/goldstar.c b/src/mame/drivers/goldstar.c
index 0badedac48b..c879342cae4 100644
--- a/src/mame/drivers/goldstar.c
+++ b/src/mame/drivers/goldstar.c
@@ -486,15 +486,15 @@ ADDRESS_MAP_END
static ADDRESS_MAP_START( unkch_portmap, ADDRESS_SPACE_IO, 8 )
ADDRESS_MAP_GLOBAL_MASK(0xff)
-// AM_RANGE(0x01, 0x01) AM_DEVREAD("ay", ay8910_r)
-// AM_RANGE(0x02, 0x03) AM_DEVWRITE("ay", ay8910_data_address_w)
-// AM_RANGE(0x04, 0x07) AM_DEVREADWRITE("ppi8255_0", ppi8255_r, ppi8255_w) /* Input Ports */
-// AM_RANGE(0x08, 0x0b) AM_DEVREADWRITE("ppi8255_1", ppi8255_r, ppi8255_w) /* DIP switches */
-// AM_RANGE(0x10, 0x10) AM_WRITE (cm_outport0_w) /* output port */
-// AM_RANGE(0x11, 0x11) AM_WRITENOP
-// AM_RANGE(0x12, 0x12) AM_WRITE (cm_outport1_w) /* output port */
-// AM_RANGE(0x13, 0x13) AM_WRITE(cm_background_col_w)
-// AM_RANGE(0x14, 0x14) AM_WRITE(cm_girl_scroll_w)
+// AM_RANGE(0x01, 0x01) AM_DEVREAD("ay", ay8910_r)
+// AM_RANGE(0x02, 0x03) AM_DEVWRITE("ay", ay8910_data_address_w)
+// AM_RANGE(0x04, 0x07) AM_DEVREADWRITE("ppi8255_0", ppi8255_r, ppi8255_w) /* Input Ports */
+// AM_RANGE(0x08, 0x0b) AM_DEVREADWRITE("ppi8255_1", ppi8255_r, ppi8255_w) /* DIP switches */
+// AM_RANGE(0x10, 0x10) AM_WRITE (cm_outport0_w) /* output port */
+// AM_RANGE(0x11, 0x11) AM_WRITENOP
+// AM_RANGE(0x12, 0x12) AM_WRITE (cm_outport1_w) /* output port */
+// AM_RANGE(0x13, 0x13) AM_WRITE(cm_background_col_w)
+// AM_RANGE(0x14, 0x14) AM_WRITE(cm_girl_scroll_w)
AM_RANGE(0x08, 0x09) AM_READ(unkch_unk_r)
ADDRESS_MAP_END
diff --git a/src/mame/drivers/konamigx.c b/src/mame/drivers/konamigx.c
index d9e98517b7a..9e2df2c5289 100644
--- a/src/mame/drivers/konamigx.c
+++ b/src/mame/drivers/konamigx.c
@@ -807,20 +807,20 @@ static READ32_HANDLER( sound020_r )
if (cpu_get_pc(space->cpu) == 0x24c5f0) rv = 0xc0c0c0c0;
break;
case 11: // Racin' Force
- if (reg == 0)
+ if (reg == 0)
{
if (cpu_get_pc(space->cpu) == 0x0202190)
rv |= 0x4000;
}
break;
-
+
case 12: // Open Golf / Golfing Greats 2
- if (reg == 0)
+ if (reg == 0)
{
if ((cpu_get_pc(space->cpu) == 0x0245e80) || (cpu_get_pc(space->cpu) == 0x02459d6) || (cpu_get_pc(space->cpu) == 0x0245e40) )
- rv |= 0x4000;
+ rv |= 0x4000;
}
- break;
+ break;
}
return(rv);
diff --git a/src/mame/drivers/mlanding.c b/src/mame/drivers/mlanding.c
index 3b414966890..34c036f7a5e 100644
--- a/src/mame/drivers/mlanding.c
+++ b/src/mame/drivers/mlanding.c
@@ -219,12 +219,12 @@ static READ16_HANDLER( io1_r ) //240006
static WRITE16_HANDLER(ml_output_w)
{
/*
- x--- ---- palette fg bankswitch
- ---x ---- coin lockout?
- ---- x--- coin counter B
- ---- -x-- coin counter A
- */
-// popmessage("%04x",data);
+ x--- ---- palette fg bankswitch
+ ---x ---- coin lockout?
+ ---- x--- coin counter B
+ ---- -x-- coin counter A
+ */
+// popmessage("%04x",data);
pal_fg_bank = (data & 0x80)>>7;
}
@@ -239,7 +239,7 @@ static void ml_msm5205_vck(const device_config *device)
{
static UINT8 trigger;
-// popmessage("%08x",adpcm_pos);
+// popmessage("%08x",adpcm_pos);
if (adpcm_pos >= 0x50000 || adpcm_idle)
{
@@ -337,23 +337,23 @@ static READ16_HANDLER( ml_analog3_lsb_r )
}
/*
- PORT_START("IN3")
- PORT_BIT( 0x0f, IP_ACTIVE_HIGH, IPT_SPECIAL ) //high bits of counter 3
- PORT_BIT( 0x10, IP_ACTIVE_HIGH, IPT_JOYSTICK_LEFT ) PORT_TOGGLE
- PORT_BIT( 0x20, IP_ACTIVE_HIGH, IPT_BUTTON1 ) PORT_NAME("Slot Down") PORT_TOGGLE
- PORT_BIT( 0x40, IP_ACTIVE_HIGH, IPT_BUTTON2 ) PORT_NAME("Slot Up") PORT_TOGGLE
- PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unknown ) )
- PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
- PORT_DIPSETTING( 0x00, DEF_STR( On ) )
-
- PORT_START("IN4")
- PORT_BIT( 0x0f, IP_ACTIVE_HIGH, IPT_SPECIAL ) //high bits of counter 2
- PORT_BIT( 0x10, IP_ACTIVE_HIGH, IPT_JOYSTICK_UP ) PORT_TOGGLE
- PORT_BIT( 0x20, IP_ACTIVE_HIGH, IPT_JOYSTICK_RIGHT ) PORT_TOGGLE
- PORT_BIT( 0x40, IP_ACTIVE_HIGH, IPT_JOYSTICK_DOWN ) PORT_TOGGLE
- PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unknown ) )
- PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
- PORT_DIPSETTING( 0x00, DEF_STR( On ) )
+ PORT_START("IN3")
+ PORT_BIT( 0x0f, IP_ACTIVE_HIGH, IPT_SPECIAL ) //high bits of counter 3
+ PORT_BIT( 0x10, IP_ACTIVE_HIGH, IPT_JOYSTICK_LEFT ) PORT_TOGGLE
+ PORT_BIT( 0x20, IP_ACTIVE_HIGH, IPT_BUTTON1 ) PORT_NAME("Slot Down") PORT_TOGGLE
+ PORT_BIT( 0x40, IP_ACTIVE_HIGH, IPT_BUTTON2 ) PORT_NAME("Slot Up") PORT_TOGGLE
+ PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unknown ) )
+ PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
+ PORT_DIPSETTING( 0x00, DEF_STR( On ) )
+
+ PORT_START("IN4")
+ PORT_BIT( 0x0f, IP_ACTIVE_HIGH, IPT_SPECIAL ) //high bits of counter 2
+ PORT_BIT( 0x10, IP_ACTIVE_HIGH, IPT_JOYSTICK_UP ) PORT_TOGGLE
+ PORT_BIT( 0x20, IP_ACTIVE_HIGH, IPT_JOYSTICK_RIGHT ) PORT_TOGGLE
+ PORT_BIT( 0x40, IP_ACTIVE_HIGH, IPT_JOYSTICK_DOWN ) PORT_TOGGLE
+ PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unknown ) )
+ PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
+ PORT_DIPSETTING( 0x00, DEF_STR( On ) )
*/
/* high bits of analog inputs + "limiters"/ADC converters. */
@@ -382,7 +382,7 @@ static READ16_HANDLER( ml_analog2_msb_r )
else
res|= 0x40;
-// popmessage("%04x %04x",x_adc,y_adc);
+// popmessage("%04x %04x",x_adc,y_adc);
return ((input_port_read(space->machine, "STICKZ") & 0xf00)>>8) | res;
}
@@ -412,7 +412,7 @@ static READ16_HANDLER( ml_analog3_msb_r )
static WRITE16_HANDLER( ml_nmi_to_sound_w )
{
-// cputag_set_input_line(space->machine, "audiocpu", INPUT_LINE_RESET, CLEAR_LINE);
+// cputag_set_input_line(space->machine, "audiocpu", INPUT_LINE_RESET, CLEAR_LINE);
}
static READ16_HANDLER( ml_mecha_ram_r )
@@ -496,9 +496,9 @@ static ADDRESS_MAP_START( mlanding_z80_mem, ADDRESS_SPACE_PROGRAM, 8 )
AM_RANGE(0xa000, 0xa001) AM_WRITE(ml_sound_to_main_w)
AM_RANGE(0xa001, 0xa001) AM_READ(taitosound_slave_comm_r)
-// AM_RANGE(0xb000, 0xb000) AM_WRITE(ml_msm5205_address_w) //guess
-// AM_RANGE(0xc000, 0xc000) AM_DEVWRITE("msm", ml_msm5205_start_w)
-// AM_RANGE(0xd000, 0xd000) AM_DEVWRITE("msm", ml_msm5205_stop_w)
+// AM_RANGE(0xb000, 0xb000) AM_WRITE(ml_msm5205_address_w) //guess
+// AM_RANGE(0xc000, 0xc000) AM_DEVWRITE("msm", ml_msm5205_start_w)
+// AM_RANGE(0xd000, 0xd000) AM_DEVWRITE("msm", ml_msm5205_stop_w)
AM_RANGE(0xf000, 0xf000) AM_DEVWRITE("msm",ml_msm_start_lsb_w)
AM_RANGE(0xf200, 0xf200) AM_WRITE(ml_msm_start_msb_w)
@@ -545,7 +545,7 @@ ADDRESS_MAP_END
static ADDRESS_MAP_START( DSP_map_io, ADDRESS_SPACE_IO, 16 )
AM_RANGE(TMS32025_HOLD, TMS32025_HOLD) AM_READ(dsp_HOLD_signal_r)
-// AM_RANGE(TMS32025_HOLDA, TMS32025_HOLDA) AM_WRITE(dsp_HOLDA_signal_w)
+// AM_RANGE(TMS32025_HOLDA, TMS32025_HOLDA) AM_WRITE(dsp_HOLDA_signal_w)
ADDRESS_MAP_END
static INPUT_PORTS_START( mlanding )
@@ -796,9 +796,9 @@ ROM_END
static DRIVER_INIT(mlanding)
{
-// UINT8 *rom = memory_region(machine, "sub");
-// rom[0x88b]=0x4e;
-// rom[0x88a]=0x71;
+// UINT8 *rom = memory_region(machine, "sub");
+// rom[0x88b]=0x4e;
+// rom[0x88a]=0x71;
}
GAME( 1987, mlanding, 0, mlanding, mlanding, mlanding, ROT0, "Taito America Corporation", "Midnight Landing (Germany)", GAME_NOT_WORKING|GAME_IMPERFECT_GRAPHICS|GAME_IMPERFECT_SOUND )
diff --git a/src/mame/drivers/model3.c b/src/mame/drivers/model3.c
index 95cffad011a..e20a5caae5e 100644
--- a/src/mame/drivers/model3.c
+++ b/src/mame/drivers/model3.c
@@ -4569,10 +4569,10 @@ ROM_END
ROM_START( magtruck ) /* Step 2.1 */
ROM_REGION64_BE( 0x4800000, "user1", 0 ) /* program + data ROMs */
// CROM
- ROM_LOAD64_WORD_SWAP( "epr21435.17", 0x000006, 0x200000, CRC(9b169446) SHA1(285cbe5afd439d83c50f0499a878f71b8e5b94e5) )
- ROM_LOAD64_WORD_SWAP( "epr21433.18", 0x000004, 0x200000, CRC(60aa9d76) SHA1(b27741568a4fd0494b2254e468faea569e2d9fef) )
- ROM_LOAD64_WORD_SWAP( "epr21436.19", 0x000002, 0x200000, CRC(22bcbca3) SHA1(fe9c46ad5b01f9f8d19854e59e229d07c0649e8c) )
- ROM_LOAD64_WORD_SWAP( "epr21434.20", 0x000000, 0x200000, CRC(e028d7ca) SHA1(7e5d1cef6d9ef767f07320e9c099004e081f52dd) )
+ ROM_LOAD64_WORD_SWAP( "epr21435.17", 0x000006, 0x200000, CRC(9b169446) SHA1(285cbe5afd439d83c50f0499a878f71b8e5b94e5) )
+ ROM_LOAD64_WORD_SWAP( "epr21433.18", 0x000004, 0x200000, CRC(60aa9d76) SHA1(b27741568a4fd0494b2254e468faea569e2d9fef) )
+ ROM_LOAD64_WORD_SWAP( "epr21436.19", 0x000002, 0x200000, CRC(22bcbca3) SHA1(fe9c46ad5b01f9f8d19854e59e229d07c0649e8c) )
+ ROM_LOAD64_WORD_SWAP( "epr21434.20", 0x000000, 0x200000, CRC(e028d7ca) SHA1(7e5d1cef6d9ef767f07320e9c099004e081f52dd) )
// CROM0
ROM_LOAD64_WORD_SWAP( "mpr21423.1", 0x800006, 0x400000, CRC(4ee0060a) SHA1(61e155ea382f2c79ece78eeba12129645ea260f1) )
@@ -4581,9 +4581,9 @@ ROM_START( magtruck ) /* Step 2.1 */
ROM_LOAD64_WORD_SWAP( "mpr21426.4", 0x800000, 0x400000, CRC(ce77e26e) SHA1(a17b621c2a49b665a3ecf50e4c8f50fdec1d6bd8) )
ROM_REGION( 0x2000000, "user3", ROMREGION_DISPOSE ) /* Video ROMs Part 1 */
- ROM_LOAD_VROM( "mpr21407.26", 0x000002, 0x400000, CRC(3ffb416c) SHA1(3fffe30d27ce6d11cd1f11ab03d77a89f796ef2a) )
- ROM_LOAD_VROM( "mpr21408.27", 0x000000, 0x400000, CRC(3e00a7ef) SHA1(b4b025f4d9346b460cf9dbfbc5dff50c51464267) )
- ROM_LOAD_VROM( "mpr21409.28", 0x000006, 0x400000, CRC(a4673bbf) SHA1(813c1da0184f5199895072a5bdaabc7f3de712dc) )
+ ROM_LOAD_VROM( "mpr21407.26", 0x000002, 0x400000, CRC(3ffb416c) SHA1(3fffe30d27ce6d11cd1f11ab03d77a89f796ef2a) )
+ ROM_LOAD_VROM( "mpr21408.27", 0x000000, 0x400000, CRC(3e00a7ef) SHA1(b4b025f4d9346b460cf9dbfbc5dff50c51464267) )
+ ROM_LOAD_VROM( "mpr21409.28", 0x000006, 0x400000, CRC(a4673bbf) SHA1(813c1da0184f5199895072a5bdaabc7f3de712dc) )
ROM_LOAD_VROM( "mpr21410.29", 0x000004, 0x400000, CRC(c9f43b4a) SHA1(590156f42f55fdf251ebf246d06102264c660afd) )
ROM_LOAD_VROM( "mpr21411.30", 0x00000a, 0x400000, CRC(f14957c7) SHA1(2f81f61a5d813c173318746cbab682b3c01689f0) )
ROM_LOAD_VROM( "mpr21412.31", 0x000008, 0x400000, CRC(ec24091f) SHA1(fe8f0f71c6e468a45ae4c466a1f7259222fcf82f) )
@@ -4601,7 +4601,7 @@ ROM_START( magtruck ) /* Step 2.1 */
ROM_LOAD_VROM( "mpr21422.41", 0x00000c, 0x400000, CRC(feca77a5) SHA1(e475a96fa3d2efae65f29266ff2322cc23392ac8) )
ROM_REGION( 0x100000, "audiocpu", 0 ) /* 68000 code */
- ROM_LOAD16_WORD_SWAP( "epr21438.21", 0x080000, 0x080000, CRC(6815af9e) SHA1(f956b5c5519a94cc60e31a2bd391949109908239) )
+ ROM_LOAD16_WORD_SWAP( "epr21438.21", 0x080000, 0x080000, CRC(6815af9e) SHA1(f956b5c5519a94cc60e31a2bd391949109908239) )
ROM_REGION( 0x1000000, "samples", 0 ) /* SCSP samples */
ROM_LOAD( "mpr21427.22", 0x000000, 0x400000, CRC(884566f6) SHA1(02b7243890e25ccb364a7ad3e8d61f8defeaf039) )
diff --git a/src/mame/drivers/skeetsht.c b/src/mame/drivers/skeetsht.c
index 3425795f431..df69f644936 100644
--- a/src/mame/drivers/skeetsht.c
+++ b/src/mame/drivers/skeetsht.c
@@ -197,7 +197,7 @@ static const hc11_config skeetsht_hc11_config =
{
0,
0x100, /* 256 bytes RAM */
-// 0x200, /* 512 bytes EEPROM */
+// 0x200, /* 512 bytes EEPROM */
};
diff --git a/src/version.c b/src/version.c
index 2d58628689a..5b527790b27 100644
--- a/src/version.c
+++ b/src/version.c
@@ -10,4 +10,4 @@
***************************************************************************/
extern const char build_version[];
-const char build_version[] = "0.132u2 ("__DATE__")";
+const char build_version[] = "0.132u3 ("__DATE__")";