summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author Curt Coder <curtcoder@mail.com>2008-10-20 17:42:38 +0000
committer Curt Coder <curtcoder@mail.com>2008-10-20 17:42:38 +0000
commitdaea45ca628c9ae72a55dd8e8836ab575013de0d (patch)
treea30cccd3910ac1405d1e8cc05d06d50ade934aff
parent13ad68bca4ed4014717f02c625fa0eef6c4b2a59 (diff)
[MC6845] Hsync should be pulsed even when vsync is active.
-rw-r--r--src/emu/video/mc6845.c4
1 files changed, 0 insertions, 4 deletions
diff --git a/src/emu/video/mc6845.c b/src/emu/video/mc6845.c
index 3bc57ec7202..332d23e611d 100644
--- a/src/emu/video/mc6845.c
+++ b/src/emu/video/mc6845.c
@@ -405,10 +405,6 @@ static void update_hsync_changed_timers(mc6845_t *mc6845)
else
next_y = (video_screen_get_vpos(mc6845->screen) + 1) % mc6845->vert_pix_total;
- /* if the next line is not in the visible region, go to the beginning of the screen */
- if (next_y > mc6845->max_visible_y)
- next_y = 0;
-
timer_adjust_oneshot(mc6845->hsync_on_timer, video_screen_get_time_until_pos(mc6845->screen, next_y, mc6845->hsync_on_pos) , 0);
timer_adjust_oneshot(mc6845->hsync_off_timer, video_screen_get_time_until_pos(mc6845->screen, next_y, mc6845->hsync_off_pos), 0);
}