From 9782118a7e707671808556db38a69207ce750bc5 Mon Sep 17 00:00:00 2001 From: 0kmg <9137159+0kmg@users.noreply.github.com> Date: Sun, 20 Feb 2022 06:30:41 -0900 Subject: Various NES and friends cleanups. (#9312) * nes.h: Removed ancient unused defines and variables. * playch10.cpp: Removed unused shared pointer. * vsnes.cpp: Changed BaseBall in titles to Baseball. * bus/nes: Cleaned up various device_type comparisons and set some consts const. * sound/nes_defs.h: Fixed a DPCM table entry that was off by one. --- src/devices/bus/nes/bootleg.cpp | 10 +++---- src/devices/bus/nes/bootleg.h | 4 +-- src/devices/bus/nes/cony.cpp | 17 ++++-------- src/devices/bus/nes/cony.h | 6 ++--- src/devices/bus/nes/kaiser.cpp | 21 +++++++-------- src/devices/bus/nes/kaiser.h | 5 ++-- src/devices/bus/nes/mmc3_clones.cpp | 18 ++++++------- src/devices/bus/nes/mmc3_clones.h | 8 +++--- src/devices/bus/nes/multigame.cpp | 8 +++--- src/devices/bus/nes/multigame.h | 5 ++-- src/devices/bus/nes/rcm.h | 2 +- src/devices/bus/nes/somari.cpp | 8 +++--- src/devices/bus/nes/somari.h | 4 +-- src/devices/bus/nes_ctrl/joypad.h | 2 +- src/devices/sound/nes_defs.h | 2 +- src/mame/drivers/nes.cpp | 52 ++++++++++++++++++------------------- src/mame/drivers/playch10.cpp | 2 +- src/mame/drivers/vsnes.cpp | 12 ++++----- src/mame/includes/nes.h | 32 +++-------------------- src/mame/includes/playch10.h | 2 -- src/mame/machine/nes.cpp | 2 +- 21 files changed, 94 insertions(+), 128 deletions(-) diff --git a/src/devices/bus/nes/bootleg.cpp b/src/devices/bus/nes/bootleg.cpp index 51d7a239855..f43c7f05b1d 100644 --- a/src/devices/bus/nes/bootleg.cpp +++ b/src/devices/bus/nes/bootleg.cpp @@ -113,18 +113,18 @@ nes_smb2ja_device::nes_smb2ja_device(const machine_config &mconfig, const char * { } -nes_smb2jb_device::nes_smb2jb_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock) - : nes_nrom_device(mconfig, type, tag, owner, clock), m_irq_count(0), m_irq_enable(0), m_reg(0), m_bank67(type == NES_SMB2JB ? 0x0f : 0x07), irq_timer(nullptr) +nes_smb2jb_device::nes_smb2jb_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 bank67) + : nes_nrom_device(mconfig, type, tag, owner, clock), m_irq_count(0), m_irq_enable(0), m_reg(0), m_bank67(bank67), irq_timer(nullptr) { } nes_smb2jb_device::nes_smb2jb_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_smb2jb_device(mconfig, NES_SMB2JB, tag, owner, clock) + : nes_smb2jb_device(mconfig, NES_SMB2JB, tag, owner, clock, 0x0f) { } nes_n32_4in1_device::nes_n32_4in1_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_smb2jb_device(mconfig, NES_N32_4IN1, tag, owner, clock) + : nes_smb2jb_device(mconfig, NES_N32_4IN1, tag, owner, clock, 0x07) { } @@ -1285,7 +1285,7 @@ void nes_smb2jb_device::write_l(offs_t offset, u8 data) u8 nes_smb2jb_device::read_m(offs_t offset) { LOG_MMC(("smb2jb read_m, offset: %04x\n", offset)); - return m_prg[m_bank67 * 0x2000 + offset]; + return m_prg[(m_bank67 * 0x2000 + offset) & (m_prg_size - 1)]; } /*------------------------------------------------- diff --git a/src/devices/bus/nes/bootleg.h b/src/devices/bus/nes/bootleg.h index 78c9f78327b..ebc6127b3cb 100644 --- a/src/devices/bus/nes/bootleg.h +++ b/src/devices/bus/nes/bootleg.h @@ -213,7 +213,7 @@ public: virtual void pcb_reset() override; protected: - nes_smb2jb_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock); + nes_smb2jb_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 bank67); // device-level overrides virtual void device_start() override; @@ -225,7 +225,7 @@ protected: private: void write_45(offs_t offset, u8 data); - u8 m_bank67; + const u8 m_bank67; static const device_timer_id TIMER_IRQ = 0; emu_timer *irq_timer; diff --git a/src/devices/bus/nes/cony.cpp b/src/devices/bus/nes/cony.cpp index 01d83837497..4baafff89c1 100644 --- a/src/devices/bus/nes/cony.cpp +++ b/src/devices/bus/nes/cony.cpp @@ -36,30 +36,23 @@ DEFINE_DEVICE_TYPE(NES_CONY1K, nes_cony1k_device, "nes_cony1k", "NES Cart Cony 1 DEFINE_DEVICE_TYPE(NES_YOKO, nes_yoko_device, "nes_yoko", "NES Cart Yoko PCB") -nes_cony_device::nes_cony_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock) - : nes_nrom_device(mconfig, type, tag, owner, clock) - , m_irq_count(0) - , m_irq_enable(0) - , irq_timer(nullptr) - , m_extra_addr(type == NES_YOKO ? 0x1400 : 0x1100) - , m_mask(type == NES_YOKO ? 0x0f : 0x1f) - , m_mode_reg(0) - , m_outer_reg(0) +nes_cony_device::nes_cony_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u16 extra_addr, u8 mask) + : nes_nrom_device(mconfig, type, tag, owner, clock), m_irq_count(0), m_irq_enable(0), irq_timer(nullptr), m_extra_addr(extra_addr), m_mask(mask), m_mode_reg(0), m_outer_reg(0) { } nes_cony_device::nes_cony_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_cony_device(mconfig, NES_CONY, tag, owner, clock) + : nes_cony_device(mconfig, NES_CONY, tag, owner, clock, 0x1100, 0x1f) { } nes_cony1k_device::nes_cony1k_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_cony_device(mconfig, NES_CONY1K, tag, owner, clock) + : nes_cony_device(mconfig, NES_CONY1K, tag, owner, clock, 0x1100, 0x1f) { } nes_yoko_device::nes_yoko_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_cony_device(mconfig, NES_YOKO, tag, owner, clock) + : nes_cony_device(mconfig, NES_YOKO, tag, owner, clock, 0x1400, 0x0f) { } diff --git a/src/devices/bus/nes/cony.h b/src/devices/bus/nes/cony.h index 13c6ee134e1..29fff1908e9 100644 --- a/src/devices/bus/nes/cony.h +++ b/src/devices/bus/nes/cony.h @@ -26,7 +26,7 @@ public: protected: // construction/destruction - nes_cony_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock); + nes_cony_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u16 extra_addr, u8 mask); // device-level overrides virtual void device_start() override; @@ -44,8 +44,8 @@ protected: u8 m_mmc_prg_bank[4]; u8 m_mmc_vrom_bank[8]; u8 m_extra_ram[4]; - u16 m_extra_addr; - u8 m_mask; + const u16 m_extra_addr; + const u8 m_mask; u8 m_mode_reg; u8 m_outer_reg; }; diff --git a/src/devices/bus/nes/kaiser.cpp b/src/devices/bus/nes/kaiser.cpp index bd4d1e2b4f4..b27adc61af3 100644 --- a/src/devices/bus/nes/kaiser.cpp +++ b/src/devices/bus/nes/kaiser.cpp @@ -93,8 +93,8 @@ nes_ks202_device::nes_ks202_device(const machine_config &mconfig, const char *ta { } -nes_ks7016_device::nes_ks7016_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 mask) - : nes_nrom_device(mconfig, type, tag, owner, clock), m_latch(0), m_mask(mask) +nes_ks7016_device::nes_ks7016_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 a15_flip) + : nes_nrom_device(mconfig, type, tag, owner, clock), m_latch(0), m_a15_flip(a15_flip) { } @@ -233,10 +233,10 @@ void nes_ks7016_device::device_start() void nes_ks7016_device::pcb_reset() { - prg8_89(0x0c ^ m_mask); - prg8_ab(0x0d ^ m_mask); - prg8_cd(0x0e ^ m_mask); - prg8_ef(0x0f ^ m_mask); + prg8_89(0x0c ^ m_a15_flip); + prg8_ab(0x0d ^ m_a15_flip); + prg8_cd(0x0e ^ m_a15_flip); + prg8_ef(0x0f ^ m_a15_flip); chr8(0, CHRRAM); set_nt_mirroring(PPU_MIRROR_VERT); @@ -607,8 +607,7 @@ void nes_ks202_device::write_h(offs_t offset, u8 data) u8 nes_ks202_device::read_m(offs_t offset) { - LOG_MMC(("ks202 read_m, offset: %04x\n", offset)); - return m_prgram[offset]; + return device_nes_cart_interface::read_m(offset); } /*------------------------------------------------- @@ -637,16 +636,16 @@ u8 nes_ks202_device::read_m(offs_t offset) u8 nes_ks7016_device::read_m(offs_t offset) { // LOG_MMC(("ks7016 read_m, offset: %04x\n", offset)); - return m_prg[m_latch * 0x2000 + offset]; + return m_prg[(m_latch * 0x2000 + offset) & (m_prg_size - 1)]; } void nes_ks7016_device::write_h(offs_t offset, u8 data) { LOG_MMC(("ks7016 write_h, offset: %04x, data: %02x\n", offset, data)); - m_latch = (offset >> 2) & 0x0f; + m_latch = BIT(offset, 2, 4); if (m_latch & 0x08) - m_latch = (m_latch & 0x0b) | m_mask; + m_latch = (m_latch & 0x0b) | m_a15_flip; } /*------------------------------------------------- diff --git a/src/devices/bus/nes/kaiser.h b/src/devices/bus/nes/kaiser.h index 7ecc4d8b7e2..5962a77dd13 100644 --- a/src/devices/bus/nes/kaiser.h +++ b/src/devices/bus/nes/kaiser.h @@ -130,13 +130,14 @@ public: protected: // construction/destruction - nes_ks7016_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 mask); + nes_ks7016_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 a15_flip); // device-level overrides virtual void device_start() override; private: - u8 m_latch, m_mask; + u8 m_latch; + const u8 m_a15_flip; }; diff --git a/src/devices/bus/nes/mmc3_clones.cpp b/src/devices/bus/nes/mmc3_clones.cpp index b9a989c78e0..78ce73639ba 100644 --- a/src/devices/bus/nes/mmc3_clones.cpp +++ b/src/devices/bus/nes/mmc3_clones.cpp @@ -192,23 +192,23 @@ nes_pikay2k_device::nes_pikay2k_device(const machine_config &mconfig, const char { } -nes_8237_device::nes_8237_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock) - : nes_txrom_device(mconfig, type, tag, owner, clock), m_board(type == NES_8237A) +nes_8237_device::nes_8237_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, int board) + : nes_txrom_device(mconfig, type, tag, owner, clock), m_board(board) { } nes_8237_device::nes_8237_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_8237_device(mconfig, NES_8237, tag, owner, clock) + : nes_8237_device(mconfig, NES_8237, tag, owner, clock, 0) { } nes_8237a_device::nes_8237a_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_8237_device(mconfig, NES_8237A, tag, owner, clock) + : nes_8237_device(mconfig, NES_8237A, tag, owner, clock, 1) { } nes_158b_device::nes_158b_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_8237_device(mconfig, NES_158B, tag, owner, clock) + : nes_8237_device(mconfig, NES_158B, tag, owner, clock, 0) { } @@ -222,18 +222,18 @@ nes_kasing_device::nes_kasing_device(const machine_config &mconfig, const char * { } -nes_sglionk_device::nes_sglionk_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock) - : nes_kasing_device(mconfig, type, tag, owner, clock), m_board(type == NES_SG_BOOG) +nes_sglionk_device::nes_sglionk_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, int board) + : nes_kasing_device(mconfig, type, tag, owner, clock), m_board(board) { } nes_sglionk_device::nes_sglionk_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_sglionk_device(mconfig, NES_SG_LIONK, tag, owner, clock) + : nes_sglionk_device(mconfig, NES_SG_LIONK, tag, owner, clock, 0) { } nes_sgboog_device::nes_sgboog_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_sglionk_device(mconfig, NES_SG_BOOG, tag, owner, clock) + : nes_sglionk_device(mconfig, NES_SG_BOOG, tag, owner, clock, 1) { } diff --git a/src/devices/bus/nes/mmc3_clones.h b/src/devices/bus/nes/mmc3_clones.h index 7a3c6aef83d..d6da92864d6 100644 --- a/src/devices/bus/nes/mmc3_clones.h +++ b/src/devices/bus/nes/mmc3_clones.h @@ -147,7 +147,7 @@ public: protected: // construction/destruction - nes_8237_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock); + nes_8237_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, int board); // device-level overrides virtual void device_start() override; @@ -155,7 +155,7 @@ protected: private: void update_banks(); u8 m_reg[3]; - int m_board; + const int m_board; }; @@ -228,10 +228,10 @@ public: protected: // construction/destruction - nes_sglionk_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock); + nes_sglionk_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, int board); private: - int m_board; + const int m_board; }; diff --git a/src/devices/bus/nes/multigame.cpp b/src/devices/bus/nes/multigame.cpp index 1e55bf6e310..e060ce666c3 100644 --- a/src/devices/bus/nes/multigame.cpp +++ b/src/devices/bus/nes/multigame.cpp @@ -434,18 +434,18 @@ nes_bmc_4in1reset_device::nes_bmc_4in1reset_device(const machine_config &mconfig { } -nes_bmc_42in1reset_device::nes_bmc_42in1reset_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock) - : nes_nrom_device(mconfig, type, tag, owner, clock), m_latch(0), m_mirror_flip(type == NES_BMC_NC20MB) +nes_bmc_42in1reset_device::nes_bmc_42in1reset_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 mirror_flip) + : nes_nrom_device(mconfig, type, tag, owner, clock), m_latch(0), m_mirror_flip(mirror_flip) { } nes_bmc_42in1reset_device::nes_bmc_42in1reset_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_bmc_42in1reset_device(mconfig, NES_BMC_42IN1RESET, tag, owner, clock) + : nes_bmc_42in1reset_device(mconfig, NES_BMC_42IN1RESET, tag, owner, clock, 0) { } nes_bmc_nc20mb_device::nes_bmc_nc20mb_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_bmc_42in1reset_device(mconfig, NES_BMC_NC20MB, tag, owner, clock) + : nes_bmc_42in1reset_device(mconfig, NES_BMC_NC20MB, tag, owner, clock, 1) { } diff --git a/src/devices/bus/nes/multigame.h b/src/devices/bus/nes/multigame.h index a117ee5745b..ee40ecf4591 100644 --- a/src/devices/bus/nes/multigame.h +++ b/src/devices/bus/nes/multigame.h @@ -1099,13 +1099,14 @@ public: protected: // construction/destruction - nes_bmc_42in1reset_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock); + nes_bmc_42in1reset_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 mirror_flip); // device-level overrides virtual void device_start() override; private: - u8 m_latch, m_mirror_flip; + u8 m_latch; + const u8 m_mirror_flip; }; diff --git a/src/devices/bus/nes/rcm.h b/src/devices/bus/nes/rcm.h index c3ce8140b88..83a91f50d6b 100644 --- a/src/devices/bus/nes/rcm.h +++ b/src/devices/bus/nes/rcm.h @@ -44,7 +44,7 @@ protected: nes_gs2004_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, int bank); private: - u32 m_base; + const u32 m_base; }; diff --git a/src/devices/bus/nes/somari.cpp b/src/devices/bus/nes/somari.cpp index cacbae4bd3b..adf8b1b1330 100644 --- a/src/devices/bus/nes/somari.cpp +++ b/src/devices/bus/nes/somari.cpp @@ -37,18 +37,18 @@ DEFINE_DEVICE_TYPE(NES_SOMARI, nes_somari_device, "nes_somari", "NES Cart Team S DEFINE_DEVICE_TYPE(NES_HUANG2, nes_huang2_device, "nes_huang2", "NES Cart Huang-2 PCB") -nes_somari_device::nes_somari_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock) - : nes_txrom_device(mconfig, type, tag, owner, clock), m_board_mode(0), m_mmc1_count(0), m_mmc1_latch(0), m_mmc1_prg_shift(type == NES_HUANG2), m_vrc_mirror(0) +nes_somari_device::nes_somari_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 mmc1_prg_shift) + : nes_txrom_device(mconfig, type, tag, owner, clock), m_board_mode(0), m_mmc1_count(0), m_mmc1_latch(0), m_mmc1_prg_shift(mmc1_prg_shift), m_vrc_mirror(0) { } nes_somari_device::nes_somari_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_somari_device(mconfig, NES_SOMARI, tag, owner, clock) + : nes_somari_device(mconfig, NES_SOMARI, tag, owner, clock, 0) { } nes_huang2_device::nes_huang2_device(const machine_config &mconfig, const char *tag, device_t *owner, u32 clock) - : nes_somari_device(mconfig, NES_HUANG2, tag, owner, clock) + : nes_somari_device(mconfig, NES_HUANG2, tag, owner, clock, 1) { } diff --git a/src/devices/bus/nes/somari.h b/src/devices/bus/nes/somari.h index 3786bedcf28..2c566696242 100644 --- a/src/devices/bus/nes/somari.h +++ b/src/devices/bus/nes/somari.h @@ -24,7 +24,7 @@ public: protected: // construction/destruction - nes_somari_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock); + nes_somari_device(const machine_config &mconfig, device_type type, const char *tag, device_t *owner, u32 clock, u8 mmc1_prg_shift); // device-level overrides virtual void device_start() override; @@ -44,7 +44,7 @@ private: u8 m_mmc1_count; u8 m_mmc1_latch; u8 m_mmc1_reg[4]; - u8 m_mmc1_prg_shift; + const u8 m_mmc1_prg_shift; // VRC2 u8 m_vrc_prg_bank[2]; diff --git a/src/devices/bus/nes_ctrl/joypad.h b/src/devices/bus/nes_ctrl/joypad.h index 69d68edc85d..3bc289e74c9 100644 --- a/src/devices/bus/nes_ctrl/joypad.h +++ b/src/devices/bus/nes_ctrl/joypad.h @@ -42,7 +42,7 @@ protected: required_ioport m_joypad; u32 m_latch; // wider than standard joypad's 8-bit latch to accomodate subclass devices - u32 m_latch_fill; // the new MSB as a joypad's shift register shifts + const u32 m_latch_fill; // the new MSB as a joypad's shift register shifts }; diff --git a/src/devices/sound/nes_defs.h b/src/devices/sound/nes_defs.h index 8200e325557..e319f93d6f0 100644 --- a/src/devices/sound/nes_defs.h +++ b/src/devices/sound/nes_defs.h @@ -218,7 +218,7 @@ static const int noise_freq[2][16] = // each frequency is determined as: freq = master / period static const int dpcm_clocks[2][16] = { - { 428, 380, 340, 320, 286, 254, 226, 214, 190, 160, 142, 128, 106, 85, 72, 54 }, // NTSC + { 428, 380, 340, 320, 286, 254, 226, 214, 190, 160, 142, 128, 106, 84, 72, 54 }, // NTSC { 398, 354, 316, 298, 276, 236, 210, 198, 176, 148, 132, 118, 98, 78, 66, 50 } // PAL }; diff --git a/src/mame/drivers/nes.cpp b/src/mame/drivers/nes.cpp index 7bfbcf065dc..f3b4fe7a532 100644 --- a/src/mame/drivers/nes.cpp +++ b/src/mame/drivers/nes.cpp @@ -43,14 +43,14 @@ INPUT_PORTS_END static INPUT_PORTS_START( famicom ) // input devices go through slot options - PORT_START("FLIPDISK") /* fake key */ + PORT_START("FLIPDISK") // fake key PORT_BIT( 0x01, IP_ACTIVE_HIGH, IPT_OTHER) PORT_NAME("Change Disk Side") PORT_CODE(KEYCODE_SPACE) INPUT_PORTS_END void nes_state::nes(machine_config &config) { - /* basic machine hardware */ + // basic machine hardware n2a03_device &maincpu(N2A03(config, m_maincpu, NTSC_APU_CLOCK)); maincpu.set_addrmap(AS_PROGRAM, &nes_state::nes_map); @@ -71,7 +71,7 @@ void nes_state::nes(machine_config &config) m_ppu->set_cpu_tag(m_maincpu); m_ppu->int_callback().set_inputline(m_maincpu, INPUT_LINE_NMI); - /* sound hardware */ + // sound hardware SPEAKER(config, "mono").front_center(); maincpu.add_route(ALL_OUTPUTS, "mono", 0.90); @@ -92,7 +92,7 @@ void nes_state::nespal(machine_config &config) { nes(config); - /* basic machine hardware */ + // basic machine hardware m_maincpu->set_clock(PAL_APU_CLOCK); PPU_2C07(config.replace(), m_ppu); @@ -101,7 +101,7 @@ void nes_state::nespal(machine_config &config) m_cartslot->set_clock(PAL_APU_CLOCK); - /* video hardware */ + // video hardware m_screen->set_refresh_hz(50.0070); m_screen->set_vblank_time(ATTOSECONDS_IN_USEC((106.53/(PAL_APU_CLOCK.dvalue()/1000000)) * (ppu2c0x_device::VBLANK_LAST_SCANLINE_PAL-ppu2c0x_device::VBLANK_FIRST_SCANLINE+1+2))); @@ -131,14 +131,14 @@ void nes_state::nespalc(machine_config &config) m_maincpu->set_clock(PALC_APU_CLOCK); m_maincpu->set_addrmap(AS_PROGRAM, &nes_state::nes_map); - /* UMC 6538 and friends -- extends time for rendering dummy scanlines */ + // UMC 6538 and friends -- extends time for rendering dummy scanlines PPU_PALC(config.replace(), m_ppu); m_ppu->set_cpu_tag(m_maincpu); m_ppu->int_callback().set_inputline("maincpu", INPUT_LINE_NMI); m_cartslot->set_clock(PALC_APU_CLOCK); - /* video hardware */ + // video hardware m_screen->set_refresh_hz(50.0070); m_screen->set_vblank_time(ATTOSECONDS_IN_USEC((113.66/(PALC_APU_CLOCK.dvalue()/1000000)) * (ppu2c0x_device::VBLANK_LAST_SCANLINE_PAL-ppu2c0x_device::VBLANK_FIRST_SCANLINE_PALC+1+2))); @@ -162,7 +162,7 @@ void nes_state::suborkbd(machine_config &config) { famipalc(config); - /* TODO: emulate the parallel port bus! */ + // TODO: emulate the parallel port bus! m_exp->set_default_option("subor_keyboard"); m_exp->set_fixed(true); } @@ -275,70 +275,70 @@ void nes_state::famitwin(machine_config &config) ROM_START( nes ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( nespal ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( famicom ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END #define rom_fds rom_famicom ROM_START( famitwin ) - ROM_REGION( 0x10000, "maincpu", 0 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", 0 ) // Main RAM ROM_LOAD( "rp2c33a-02.bin", 0xe000, 0x2000, CRC(4df24a6c) SHA1(e4e41472c454f928e53eb10e0509bf7d1146ecc1) ) // "Famicom" logo instead of Nintendo logo ROM_END ROM_START( m82 ) - ROM_REGION( 0x14000, "maincpu", 0 ) /* Main RAM + program banks */ - /* Banks to be mapped at 0xe000? More investigations needed... */ + ROM_REGION( 0x14000, "maincpu", 0 ) // Main RAM + program banks + // Banks to be mapped at 0xe000? More investigations needed... ROM_LOAD( "m82_v1_0.bin", 0x10000, 0x4000, CRC(7d56840a) SHA1(cbd2d14fa073273ba58367758f40d67fd8a9106d) ) ROM_END ROM_START( m82p ) - /* same as m82 */ - ROM_REGION( 0x14000, "maincpu", 0 ) /* Main RAM + program banks */ - /* Banks to be mapped at 0xe000? More investigations needed... */ + // same as m82 + ROM_REGION( 0x14000, "maincpu", 0 ) // Main RAM + program banks + // Banks to be mapped at 0xe000? More investigations needed... ROM_LOAD( "m82_v1_0.bin", 0x10000, 0x4000, CRC(7d56840a) SHA1(cbd2d14fa073273ba58367758f40d67fd8a9106d) ) ROM_END // see http://www.disgruntleddesigner.com/chrisc/drpcjr/index.html // and http://www.disgruntleddesigner.com/chrisc/drpcjr/DrPCJrMemMap.txt ROM_START( drpcjr ) - ROM_REGION( 0x18000, "maincpu", 0 ) /* Main RAM + program banks */ - /* 4 banks to be mapped in 0xe000-0xffff (or 8 banks to be mapped in 0xe000-0xefff & 0xf000-0xffff). - Banks selected by writing at 0x4180 */ + ROM_REGION( 0x18000, "maincpu", 0 ) // Main RAM + program banks + // 4 banks to be mapped in 0xe000-0xffff (or 8 banks to be mapped in 0xe000-0xefff & 0xf000-0xffff). + // Banks selected by writing at 0x4180 ROM_LOAD("drpcjr_bios.bin", 0x10000, 0x8000, CRC(c8fbef89) SHA1(2cb0a817b31400cdf27817d09bae7e69f41b062b) ) // bios vers. 1.0a // Not sure if we should support this: hacked version 1.5a by Chris Covell with bugfixes and GameGenie support // ROM_LOAD("drpcjr_v1_5_gg.bin", 0x10000, 0x8000, CRC(98f2033b) SHA1(93c114da787a19279d1a46667c2f69b49e25d4f1) ) ROM_END ROM_START( iq501 ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( iq502 ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( dendy ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( dendy2 ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( gchinatv ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END ROM_START( sb486 ) - ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) /* Main RAM */ + ROM_REGION( 0x10000, "maincpu", ROMREGION_ERASE00 ) // Main RAM ROM_END /*************************************************************************** diff --git a/src/mame/drivers/playch10.cpp b/src/mame/drivers/playch10.cpp index da5754a9bf4..0c2d0920070 100644 --- a/src/mame/drivers/playch10.cpp +++ b/src/mame/drivers/playch10.cpp @@ -372,7 +372,7 @@ void playch10_state::bios_io_map(address_map &map) void playch10_state::cart_map(address_map &map) { - map(0x0000, 0x07ff).ram().mirror(0x1800).share("work_ram"); + map(0x0000, 0x07ff).mirror(0x1800).ram(); map(0x2000, 0x3fff).rw(m_ppu, FUNC(ppu2c0x_device::read), FUNC(ppu2c0x_device::write)); map(0x4014, 0x4014).w(FUNC(playch10_state::sprite_dma_w)); map(0x4016, 0x4016).rw(FUNC(playch10_state::pc10_in0_r), FUNC(playch10_state::pc10_in0_w)); diff --git a/src/mame/drivers/vsnes.cpp b/src/mame/drivers/vsnes.cpp index e5352b3c1a3..8c7519c0efe 100644 --- a/src/mame/drivers/vsnes.cpp +++ b/src/mame/drivers/vsnes.cpp @@ -126,7 +126,7 @@ Changes: - Vs. Gumshoe (partially working) - Vs. Freedom Force (not working) - Vs. Stroke and Match Golf (Men set 2) (not working) - - Vs. BaseBall (Japan set 3) (not working) + - Vs. Baseball (Japan set 3) (not working) - Added coin counter - Added Extra Ram in vstetris - Added Demo Sound in vsmahjng @@ -134,7 +134,7 @@ Changes: - Fixed protection in Vs. Super Xevious - Corrected or checked dip-switches in Castlevania, Duck Hunt, Excitebike, Gradius, Hogan's Alley, Ice Climber, R.B.I. Baseball, Slalom, Soccer, - Super Mario Bros., Top Gun, BaseBall, Tennis, Stroke and Match Golf + Super Mario Bros., Top Gun, Baseball, Tennis, Stroke and Match Golf ***************************************************************************/ @@ -2891,8 +2891,8 @@ GAME( 1984, vstennisb,vstennis, vsdual_pi, vstennis, vsnes_state, init_vsdu GAME( 1984, wrecking, 0, vsdual_pi, wrecking, vsnes_state, init_vsdual, ROT0, "Nintendo", "Vs. Wrecking Crew", 0 ) GAME( 1984, balonfgt, 0, vsdual_pi, balonfgt, vsnes_state, init_vsdual, ROT0, "Nintendo", "Vs. Balloon Fight (set BF4 A-3)", 0 ) GAME( 1984, vsmahjng, 0, vsdual, vsmahjng, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. Mahjong (Japan)", 0 ) -GAME( 1984, vsbball, 0, vsdual_pi, vsbball, vsnes_state, init_vsdual, ROT0, "Nintendo of America", "Vs. BaseBall (US, set BA E-1)", 0 ) -GAME( 1984, vsbballj, vsbball, vsdual_pi, vsbballj, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. BaseBall (Japan, set BA A-3)", 0 ) -GAME( 1984, vsbballja,vsbball, vsdual_pi, vsbballj, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. BaseBall (Japan, set BA A-2)", 0 ) -GAME( 1984, vsbballjb,vsbball, vsdual_pi, vsbballj, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. BaseBall (Japan, set BA A-1)", 0 ) +GAME( 1984, vsbball, 0, vsdual_pi, vsbball, vsnes_state, init_vsdual, ROT0, "Nintendo of America", "Vs. Baseball (US, set BA E-1)", 0 ) +GAME( 1984, vsbballj, vsbball, vsdual_pi, vsbballj, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. Baseball (Japan, set BA A-3)", 0 ) +GAME( 1984, vsbballja,vsbball, vsdual_pi, vsbballj, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. Baseball (Japan, set BA A-2)", 0 ) +GAME( 1984, vsbballjb,vsbball, vsdual_pi, vsbballj, vsnes_state, init_vsdual, ROT0, "Nintendo Co., Ltd.", "Vs. Baseball (Japan, set BA A-1)", 0 ) GAME( 1984, iceclmrd, 0, vsdual_pi, iceclmrj, vsnes_state, init_vsdual, ROT0, "Nintendo", "Vs. Ice Climber Dual (set IC4-4 A-1)", 0 ) diff --git a/src/mame/includes/nes.h b/src/mame/includes/nes.h index 72ad3edb939..5360925f084 100644 --- a/src/mame/includes/nes.h +++ b/src/mame/includes/nes.h @@ -21,33 +21,10 @@ #include "video/ppu2c0x.h" #include "screen.h" -/*************************************************************************** - CONSTANTS -***************************************************************************/ - -#define NES_BATTERY_SIZE 0x2000 - /*************************************************************************** TYPE DEFINITIONS ***************************************************************************/ -/*PPU fast banking constants and structures */ - -#define CHRROM 0 -#define CHRRAM 1 - - -/*PPU nametable fast banking constants and structures */ - -#define CIRAM 0 -#define ROM 1 -#define EXRAM 2 -#define MMC5FILL 3 -#define CART_NTRAM 4 - -#define NES_BATTERY 0 -#define NES_WRAM 1 - class nes_base_state : public driver_device { public: @@ -115,16 +92,13 @@ public: void fds(machine_config &config); void nes_map(address_map &map); private: - memory_bank *m_prg_bank_mem[5]; - - /* video-related */ + // video-related int m_last_frame_flip; - /* misc */ + // misc ioport_port *m_io_disksel; - uint8_t *m_vram; - std::unique_ptr m_ciram; //PPU nametable RAM - external to PPU! + std::unique_ptr m_ciram; // PPU nametable RAM - external to PPU! required_shared_ptr m_mainram; diff --git a/src/mame/includes/playch10.h b/src/mame/includes/playch10.h index 3875fe082fa..6eef1bd1c3e 100644 --- a/src/mame/includes/playch10.h +++ b/src/mame/includes/playch10.h @@ -22,7 +22,6 @@ public: , m_rp5h01(*this, "rp5h01") , m_ram_8w(*this, "ram_8w") , m_videoram(*this, "videoram") - , m_work_ram(*this, "work_ram") , m_gfxdecode(*this, "gfxdecode") , m_vrom_region(*this, "gfx2") , m_timedigits(*this, "digit_%u", 0U) @@ -149,7 +148,6 @@ private: required_shared_ptr m_ram_8w; required_shared_ptr m_videoram; - required_shared_ptr m_work_ram; required_device m_gfxdecode; optional_memory_region m_vrom_region; diff --git a/src/mame/machine/nes.cpp b/src/mame/machine/nes.cpp index ed62101c4af..ddd1e5076f8 100644 --- a/src/mame/machine/nes.cpp +++ b/src/mame/machine/nes.cpp @@ -68,7 +68,7 @@ void nes_state::machine_start() space.install_write_handler(0x4100, 0x5fff, write8sm_delegate(*m_cartslot, FUNC(nes_cart_slot_device::write_l))); space.install_read_handler(0x6000, 0x7fff, read8sm_delegate(*m_cartslot, FUNC(nes_cart_slot_device::read_m))); space.install_write_handler(0x6000, 0x7fff, write8sm_delegate(*m_cartslot, FUNC(nes_cart_slot_device::write_m))); - for(int i=0; i<4; i++) + for(int i = 0; i < 4; i++) space.install_read_bank(0x8000 + 0x2000*i, 0x9fff + 0x2000*i, m_prg_bank[i]); space.install_write_handler(0x8000, 0xffff, write8sm_delegate(*m_cartslot, FUNC(nes_cart_slot_device::write_h))); -- cgit v1.2.3