From 96dd95a11bc29ec9329e9e984294a3c37a07db54 Mon Sep 17 00:00:00 2001 From: hap Date: Mon, 12 Dec 2022 01:48:18 +0100 Subject: m6809/konami: cpu has internal /4 divider --- src/devices/cpu/m6809/konami.cpp | 2 +- src/mame/konami/88games.cpp | 2 +- src/mame/konami/ajax.cpp | 2 +- src/mame/konami/aliens.cpp | 2 +- src/mame/konami/blockhl.cpp | 2 +- src/mame/konami/chqflag.cpp | 2 +- src/mame/konami/crimfght.cpp | 2 +- src/mame/konami/crimfght.h | 2 +- src/mame/konami/hcastle.cpp | 2 +- src/mame/konami/parodius.cpp | 2 +- src/mame/konami/rollerg.cpp | 2 +- src/mame/konami/simpsons.cpp | 2 +- src/mame/konami/simpsons.h | 2 +- src/mame/konami/surpratk.cpp | 2 +- src/mame/konami/thunderx.cpp | 4 ++-- src/mame/konami/thunderx.h | 2 +- src/mame/konami/vendetta.cpp | 2 +- 17 files changed, 18 insertions(+), 18 deletions(-) diff --git a/src/devices/cpu/m6809/konami.cpp b/src/devices/cpu/m6809/konami.cpp index 84553c8dbb9..b844fd24a5d 100644 --- a/src/devices/cpu/m6809/konami.cpp +++ b/src/devices/cpu/m6809/konami.cpp @@ -85,7 +85,7 @@ DEFINE_DEVICE_TYPE(KONAMI, konami_cpu_device, "konami_cpu", "KONAMI CPU") //------------------------------------------------- konami_cpu_device::konami_cpu_device(const machine_config &mconfig, const char *tag, device_t *owner, uint32_t clock) - : m6809_base_device(mconfig, tag, owner, clock, KONAMI, 1) + : m6809_base_device(mconfig, tag, owner, clock, KONAMI, 4) , m_set_lines(*this) { } diff --git a/src/mame/konami/88games.cpp b/src/mame/konami/88games.cpp index d77303d508d..59c3c67dd96 100644 --- a/src/mame/konami/88games.cpp +++ b/src/mame/konami/88games.cpp @@ -298,7 +298,7 @@ void _88games_state::machine_reset() void _88games_state::_88games(machine_config &config) { /* basic machine hardware */ - KONAMI(config, m_maincpu, 3000000); /* ? */ + KONAMI(config, m_maincpu, 12000000); /* ? */ m_maincpu->set_addrmap(AS_PROGRAM, &_88games_state::main_map); m_maincpu->line().set(FUNC(_88games_state::banking_callback)); diff --git a/src/mame/konami/ajax.cpp b/src/mame/konami/ajax.cpp index c6cdbe6be66..c1fcaa37489 100644 --- a/src/mame/konami/ajax.cpp +++ b/src/mame/konami/ajax.cpp @@ -554,7 +554,7 @@ void ajax_state::volume_callback1(uint8_t data) void ajax_state::ajax(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, XTAL(24'000'000) / 2 / 4); // 052001 12/4 MHz + KONAMI(config, m_maincpu, XTAL(24'000'000) / 2); // 052001 12/4 MHz m_maincpu->set_addrmap(AS_PROGRAM, &ajax_state::main_map); HD6309E(config, m_subcpu, 3000000); // ? diff --git a/src/mame/konami/aliens.cpp b/src/mame/konami/aliens.cpp index f9f956e3adb..0c0845eb589 100644 --- a/src/mame/konami/aliens.cpp +++ b/src/mame/konami/aliens.cpp @@ -296,7 +296,7 @@ void aliens_state::machine_start() void aliens_state::aliens(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, XTAL(24'000'000) / 2 / 4); // 052001 (verified on PCB) + KONAMI(config, m_maincpu, XTAL(24'000'000) / 2); // 052001 (verified on PCB) m_maincpu->set_addrmap(AS_PROGRAM, &aliens_state::main_map); m_maincpu->line().set_membank(m_rombank).mask(0x1f); diff --git a/src/mame/konami/blockhl.cpp b/src/mame/konami/blockhl.cpp index d5ffd15dd02..9a057d93d33 100644 --- a/src/mame/konami/blockhl.cpp +++ b/src/mame/konami/blockhl.cpp @@ -282,7 +282,7 @@ INPUT_PORTS_END void blockhl_state::blockhl(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, XTAL(24'000'000)/8); // Konami 052526 + KONAMI(config, m_maincpu, XTAL(24'000'000)/2); // Konami 052526 m_maincpu->set_addrmap(AS_PROGRAM, &blockhl_state::main_map); m_maincpu->line().set(FUNC(blockhl_state::banking_callback)); diff --git a/src/mame/konami/chqflag.cpp b/src/mame/konami/chqflag.cpp index f45e4308abb..f9e85941c5a 100644 --- a/src/mame/konami/chqflag.cpp +++ b/src/mame/konami/chqflag.cpp @@ -315,7 +315,7 @@ inline void chqflag_state::update_background_shadows(uint8_t data) void chqflag_state::chqflag(machine_config &config) { /* basic machine hardware */ - KONAMI(config, m_maincpu, XTAL(24'000'000)/2/4); /* 052001 (verified on pcb) */ + KONAMI(config, m_maincpu, XTAL(24'000'000)/2); /* 052001 (verified on pcb) */ m_maincpu->set_addrmap(AS_PROGRAM, &chqflag_state::chqflag_map); Z80(config, m_audiocpu, XTAL(3'579'545)); /* verified on pcb */ diff --git a/src/mame/konami/crimfght.cpp b/src/mame/konami/crimfght.cpp index 91b20a15bbe..066171d0d88 100644 --- a/src/mame/konami/crimfght.cpp +++ b/src/mame/konami/crimfght.cpp @@ -302,7 +302,7 @@ CUSTOM_INPUT_MEMBER( crimfght_state::system_r ) void crimfght_state::crimfght(machine_config &config) { /* basic machine hardware */ - KONAMI(config, m_maincpu, XTAL(24'000'000)/8); /* 052001 (verified on pcb) */ + KONAMI(config, m_maincpu, XTAL(24'000'000)/2); /* 052001 (verified on pcb) */ m_maincpu->set_addrmap(AS_PROGRAM, &crimfght_state::crimfght_map); m_maincpu->line().set(FUNC(crimfght_state::banking_callback)); diff --git a/src/mame/konami/crimfght.h b/src/mame/konami/crimfght.h index 4d391d5078f..37c4ce857a0 100644 --- a/src/mame/konami/crimfght.h +++ b/src/mame/konami/crimfght.h @@ -10,7 +10,7 @@ #pragma once -#include "cpu/m6809/konami.h" /* for the callback and the firq irq definition */ +#include "cpu/m6809/konami.h" #include "machine/bankdev.h" #include "machine/gen_latch.h" #include "sound/k007232.h" diff --git a/src/mame/konami/hcastle.cpp b/src/mame/konami/hcastle.cpp index a139d061700..0a663698194 100644 --- a/src/mame/konami/hcastle.cpp +++ b/src/mame/konami/hcastle.cpp @@ -448,7 +448,7 @@ void hcastle_state::machine_reset() void hcastle_state::hcastle(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, 3000000); // Derived from 24 MHz clock + KONAMI(config, m_maincpu, 12000000); // Derived from 24 MHz clock m_maincpu->set_addrmap(AS_PROGRAM, &hcastle_state::main_map); m_maincpu->set_vblank_int("screen", FUNC(hcastle_state::irq0_line_hold)); diff --git a/src/mame/konami/parodius.cpp b/src/mame/konami/parodius.cpp index cc6de530c28..6e7ce17e649 100644 --- a/src/mame/konami/parodius.cpp +++ b/src/mame/konami/parodius.cpp @@ -355,7 +355,7 @@ void parodius_state::banking_callback(uint8_t data) void parodius_state::parodius(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, 3000000); // 053248 + KONAMI(config, m_maincpu, 12000000); // 053248 m_maincpu->set_addrmap(AS_PROGRAM, &parodius_state::main_map); m_maincpu->line().set(FUNC(parodius_state::banking_callback)); diff --git a/src/mame/konami/rollerg.cpp b/src/mame/konami/rollerg.cpp index 81fc40b1e63..7c0c94bdc4d 100644 --- a/src/mame/konami/rollerg.cpp +++ b/src/mame/konami/rollerg.cpp @@ -351,7 +351,7 @@ void rollerg_state::machine_reset() void rollerg_state::rollerg(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, 24_MHz_XTAL / 8); // divider not verified + KONAMI(config, m_maincpu, 24_MHz_XTAL / 2); // divider not verified m_maincpu->set_addrmap(AS_PROGRAM, &rollerg_state::main_map); m_maincpu->set_vblank_int("screen", FUNC(rollerg_state::irq0_line_assert)); m_maincpu->line().set_membank(m_mainbank).mask(0x07); diff --git a/src/mame/konami/simpsons.cpp b/src/mame/konami/simpsons.cpp index bea6e94bcd7..6d2892df747 100644 --- a/src/mame/konami/simpsons.cpp +++ b/src/mame/konami/simpsons.cpp @@ -313,7 +313,7 @@ INTERRUPT_GEN_MEMBER(simpsons_state::periodic_irq) void simpsons_state::simpsons(machine_config &config) { /* basic machine hardware */ - KONAMI(config, m_maincpu, XTAL(24'000'000)/2/4); /* 053248, the clock input is 12MHz, and internal CPU divider of 4 */ + KONAMI(config, m_maincpu, XTAL(24'000'000)/2); /* 053248, the clock input is 12MHz, and internal CPU divider of 4 */ m_maincpu->set_addrmap(AS_PROGRAM, &simpsons_state::main_map); m_maincpu->set_vblank_int("screen", FUNC(simpsons_state::periodic_irq)); /* IRQ triggered by the 052109, FIRQ by the sprite hardware */ m_maincpu->line().set(FUNC(simpsons_state::banking_callback)); diff --git a/src/mame/konami/simpsons.h b/src/mame/konami/simpsons.h index db9c0c2d642..00325513669 100644 --- a/src/mame/konami/simpsons.h +++ b/src/mame/konami/simpsons.h @@ -5,7 +5,7 @@ #pragma once -#include "cpu/m6809/konami.h" // for the callback and the firq irq definition +#include "cpu/m6809/konami.h" #include "k052109.h" #include "k053251.h" #include "k053246_k053247_k055673.h" diff --git a/src/mame/konami/surpratk.cpp b/src/mame/konami/surpratk.cpp index 6b46f33a3a9..10bcdfaa980 100644 --- a/src/mame/konami/surpratk.cpp +++ b/src/mame/konami/surpratk.cpp @@ -300,7 +300,7 @@ void surpratk_state::banking_callback(uint8_t data) void surpratk_state::surpratk(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, XTAL(24'000'000) / 2 / 4); // 053248, the clock input is 12MHz, and internal CPU divider of 4 + KONAMI(config, m_maincpu, XTAL(24'000'000) / 2); // 053248, the clock input is 12MHz, and internal CPU divider of 4 m_maincpu->set_addrmap(AS_PROGRAM, &surpratk_state::main_map); m_maincpu->line().set(FUNC(surpratk_state::banking_callback)); diff --git a/src/mame/konami/thunderx.cpp b/src/mame/konami/thunderx.cpp index b02e756546d..bf76935b010 100644 --- a/src/mame/konami/thunderx.cpp +++ b/src/mame/konami/thunderx.cpp @@ -48,7 +48,7 @@ TIMER_CALLBACK_MEMBER(thunderx_state::thunderx_firq_cb) { - m_maincpu->set_input_line(KONAMI_FIRQ_LINE, HOLD_LINE); + m_maincpu->set_input_line(KONAMI_FIRQ_LINE, HOLD_LINE); } #define PMC_BK (m_1f98_latch & 0x02) @@ -628,7 +628,7 @@ void thunderx_state::machine_reset() void thunderx_state::scontra(machine_config &config) { /* basic machine hardware */ - KONAMI(config, m_maincpu, XTAL(24'000'000)/2/4); /* 052001 (verified on pcb) */ + KONAMI(config, m_maincpu, XTAL(24'000'000)/2); /* 052001 (verified on pcb) */ m_maincpu->set_addrmap(AS_PROGRAM, &thunderx_state::scontra_map); Z80(config, m_audiocpu, XTAL(3'579'545)); /* verified on pcb */ diff --git a/src/mame/konami/thunderx.h b/src/mame/konami/thunderx.h index 23986680281..c77b67beb89 100644 --- a/src/mame/konami/thunderx.h +++ b/src/mame/konami/thunderx.h @@ -10,7 +10,7 @@ #pragma once -#include "cpu/m6809/konami.h" // for the callback and the firq irq definition +#include "cpu/m6809/konami.h" #include "machine/bankdev.h" #include "sound/k007232.h" #include "k051960.h" diff --git a/src/mame/konami/vendetta.cpp b/src/mame/konami/vendetta.cpp index 42c48a684b2..4f0a5201c3e 100644 --- a/src/mame/konami/vendetta.cpp +++ b/src/mame/konami/vendetta.cpp @@ -606,7 +606,7 @@ void vendetta_state::banking_callback(uint8_t data) void vendetta_state::vendetta(machine_config &config) { // basic machine hardware - KONAMI(config, m_maincpu, XTAL(24'000'000) / 8); // 052001 (verified on PCB) + KONAMI(config, m_maincpu, XTAL(24'000'000) / 2); // 052001 (verified on PCB) m_maincpu->set_addrmap(AS_PROGRAM, &vendetta_state::main_map); m_maincpu->line().set(FUNC(vendetta_state::banking_callback)); -- cgit v1.2.3