summaryrefslogtreecommitdiffstatshomepage
path: root/src/mame/audio/tx1.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/mame/audio/tx1.cpp')
-rw-r--r--src/mame/audio/tx1.cpp40
1 files changed, 20 insertions, 20 deletions
diff --git a/src/mame/audio/tx1.cpp b/src/mame/audio/tx1.cpp
index 68aaf9e103a..0d99384356e 100644
--- a/src/mame/audio/tx1.cpp
+++ b/src/mame/audio/tx1.cpp
@@ -569,11 +569,11 @@ MACHINE_CONFIG_START(tx1_sound_device::device_add_mconfig)
// SPEAKER(config, "rearleft", -0.2, 0.0, -0.5); /* Atari TX-1 TM262 manual shows 4 speakers (TX-1 Audio PCB Assembly A042016-01 A) */
// SPEAKER(config, "rearright", 0.2, 0.0, -0.5);
- MCFG_DEVICE_ADD("aysnd", AY8910, TX1_PIXEL_CLOCK / 8)
- MCFG_AY8910_PORT_A_WRITE_CB(WRITE8(*this, tx1_sound_device, ay8910_a_w))
- MCFG_AY8910_PORT_B_WRITE_CB(WRITE8(*this, tx1_sound_device, ay8910_b_w))
- MCFG_SOUND_ROUTE(ALL_OUTPUTS, "frontleft", 0.1)
- MCFG_SOUND_ROUTE(ALL_OUTPUTS, "frontright", 0.1)
+ ay8910_device &aysnd(AY8910(config, "aysnd", TX1_PIXEL_CLOCK / 8));
+ aysnd.port_a_write_callback().set(FUNC(tx1_sound_device::ay8910_a_w));
+ aysnd.port_b_write_callback().set(FUNC(tx1_sound_device::ay8910_b_w));
+ aysnd.add_route(ALL_OUTPUTS, "frontleft", 0.1);
+ aysnd.add_route(ALL_OUTPUTS, "frontright", 0.1);
MCFG_DEVICE_MODIFY(DEVICE_SELF)
MCFG_SOUND_ROUTE(0, "frontleft", 0.2)
@@ -1076,14 +1076,14 @@ MACHINE_CONFIG_START(buggyboy_sound_device::device_add_mconfig)
// SPEAKER(config, "rearleft", -0.2, 0.0, -0.5); /* Atari TX-1 TM262 manual shows 4 speakers (TX-1 Audio PCB Assembly A042016-01 A) */
// SPEAKER(config, "rearright", 0.2, 0.0, -0.5);
- MCFG_DEVICE_ADD(m_ym[0], YM2149, BUGGYBOY_ZCLK / 4)
- MCFG_AY8910_PORT_A_WRITE_CB(WRITE8(*this, buggyboy_sound_device, ym1_a_w))
- MCFG_SOUND_ROUTE(ALL_OUTPUTS, "frontleft", 0.15)
+ YM2149(config, m_ym[0], BUGGYBOY_ZCLK / 4);
+ m_ym[0]->port_a_write_callback().set(FUNC(buggyboy_sound_device::ym1_a_w));
+ m_ym[0]->add_route(ALL_OUTPUTS, "frontleft", 0.15);
- MCFG_DEVICE_ADD(m_ym[1], YM2149, BUGGYBOY_ZCLK / 4)
- MCFG_AY8910_PORT_A_WRITE_CB(WRITE8(*this, buggyboy_sound_device, ym2_a_w))
- MCFG_AY8910_PORT_B_WRITE_CB(WRITE8(*this, buggyboy_sound_device, ym2_b_w))
- MCFG_SOUND_ROUTE(ALL_OUTPUTS, "frontright", 0.15)
+ YM2149(config, m_ym[1], BUGGYBOY_ZCLK / 4);
+ m_ym[1]->port_a_write_callback().set(FUNC(buggyboy_sound_device::ym2_a_w));
+ m_ym[1]->port_b_write_callback().set(FUNC(buggyboy_sound_device::ym2_b_w));
+ m_ym[1]->add_route(ALL_OUTPUTS, "frontright", 0.15);
MCFG_DEVICE_MODIFY(DEVICE_SELF)
MCFG_SOUND_ROUTE(0, "frontleft", 0.2)
@@ -1101,15 +1101,15 @@ MACHINE_CONFIG_START(buggyboyjr_sound_device::device_add_mconfig)
// SPEAKER(config, "rearleft", -0.2, 0.0, -0.5);
// SPEAKER(config, "rearright", 0.2, 0.0, -0.5);
- MCFG_DEVICE_ADD(m_ym[0], YM2149, BUGGYBOY_ZCLK / 4) /* YM2149 IC19 */
- MCFG_AY8910_PORT_A_READ_CB(IOPORT("YM2149_IC19_A"))
- MCFG_AY8910_PORT_B_READ_CB(IOPORT("YM2149_IC19_B"))
- MCFG_SOUND_ROUTE(ALL_OUTPUTS, "frontleft", 0.15)
+ YM2149(config, m_ym[0], BUGGYBOY_ZCLK / 4); /* YM2149 IC19 */
+ m_ym[0]->port_a_read_callback().set_ioport("YM2149_IC19_A");
+ m_ym[0]->port_b_read_callback().set_ioport("YM2149_IC19_B");
+ m_ym[0]->add_route(ALL_OUTPUTS, "frontleft", 0.15);
- MCFG_DEVICE_ADD(m_ym[1], YM2149, BUGGYBOY_ZCLK / 4) /* YM2149 IC24 */
- MCFG_AY8910_PORT_A_WRITE_CB(WRITE8(*this, buggyboy_sound_device, ym2_a_w))
- MCFG_AY8910_PORT_B_WRITE_CB(WRITE8(*this, buggyboy_sound_device, ym2_b_w))
- MCFG_SOUND_ROUTE(ALL_OUTPUTS, "frontright", 0.15)
+ YM2149(config, m_ym[1], BUGGYBOY_ZCLK / 4); /* YM2149 IC24 */
+ m_ym[1]->port_a_write_callback().set(FUNC(buggyboy_sound_device::ym2_a_w));
+ m_ym[1]->port_b_write_callback().set(FUNC(buggyboy_sound_device::ym2_b_w));
+ m_ym[1]->add_route(ALL_OUTPUTS, "frontright", 0.15);
MCFG_DEVICE_MODIFY(DEVICE_SELF)
MCFG_SOUND_ROUTE(0, "frontleft", 0.2)