summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/cpu/m6502/m6509.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/cpu/m6502/m6509.h')
-rw-r--r--src/devices/cpu/m6502/m6509.h4
1 files changed, 1 insertions, 3 deletions
diff --git a/src/devices/cpu/m6502/m6509.h b/src/devices/cpu/m6502/m6509.h
index c8fa2ba937e..3945c4fcdac 100644
--- a/src/devices/cpu/m6502/m6509.h
+++ b/src/devices/cpu/m6502/m6509.h
@@ -46,9 +46,7 @@ protected:
virtual void device_start() override;
virtual void device_reset() override;
- virtual void state_export(const device_state_entry &entry) override;
-
- uint32_t XPC;
+ virtual offs_t pc_to_external(u16 pc) override;
uint8_t bank_i, bank_y;