summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/bus/interpro/sr/sr.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/bus/interpro/sr/sr.h')
-rw-r--r--src/devices/bus/interpro/sr/sr.h4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/devices/bus/interpro/sr/sr.h b/src/devices/bus/interpro/sr/sr.h
index 3799aff9a70..ddd41938661 100644
--- a/src/devices/bus/interpro/sr/sr.h
+++ b/src/devices/bus/interpro/sr/sr.h
@@ -80,8 +80,8 @@ public:
offs_t end = start + (SR_SIZE - 1);
// install the device address map
- m_data_space->install_device(start, end, device, map, 32);
- m_io_space->install_device(start, end, device, map, 32);
+ m_data_space->install_device(start, end, device, map);
+ m_io_space->install_device(start, end, device, map);
m_slot_count++;
}