summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/bus/bbc/internal/stl4m32.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/bus/bbc/internal/stl4m32.cpp')
-rw-r--r--src/devices/bus/bbc/internal/stl4m32.cpp6
1 files changed, 3 insertions, 3 deletions
diff --git a/src/devices/bus/bbc/internal/stl4m32.cpp b/src/devices/bus/bbc/internal/stl4m32.cpp
index bd5e3e0d40e..5d3782c36ac 100644
--- a/src/devices/bus/bbc/internal/stl4m32.cpp
+++ b/src/devices/bus/bbc/internal/stl4m32.cpp
@@ -281,7 +281,7 @@ uint8_t bbc_stl4m32_device::mos_r(offs_t offset)
//if (BIT(m_shadow, 7) && offset >= 0x2000)
//{
- // data = m_ram[offset];
+ // data = m_ram[offset];
//}
//else
//{
@@ -298,6 +298,6 @@ void bbc_stl4m32_device::mos_w(offs_t offset, uint8_t data)
//if (BIT(m_romsel, 7) && offset >= 0x2000)
//{
- // m_ram[offset] = data;
+ // m_ram[offset] = data;
//}
-} \ No newline at end of file
+}