summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/bus/bbc/1mhzbus/1mhzbus.h
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/bus/bbc/1mhzbus/1mhzbus.h')
-rw-r--r--src/devices/bus/bbc/1mhzbus/1mhzbus.h6
1 files changed, 2 insertions, 4 deletions
diff --git a/src/devices/bus/bbc/1mhzbus/1mhzbus.h b/src/devices/bus/bbc/1mhzbus/1mhzbus.h
index 80e48425973..302348b6822 100644
--- a/src/devices/bus/bbc/1mhzbus/1mhzbus.h
+++ b/src/devices/bus/bbc/1mhzbus/1mhzbus.h
@@ -87,7 +87,7 @@
class device_bbc_1mhzbus_interface;
-class bbc_1mhzbus_slot_device : public device_t, public device_slot_interface
+class bbc_1mhzbus_slot_device : public device_t, public device_single_card_slot_interface<device_bbc_1mhzbus_interface>
{
public:
// construction/destruction
@@ -117,9 +117,7 @@ public:
protected:
// device-level overrides
- virtual void device_validity_check(validity_checker &valid) const override;
virtual void device_start() override;
- virtual void device_reset() override;
device_bbc_1mhzbus_interface *m_card;
@@ -131,7 +129,7 @@ private:
// ======================> device_bbc_1mhzbus_interface
-class device_bbc_1mhzbus_interface : public device_slot_card_interface
+class device_bbc_1mhzbus_interface : public device_interface
{
public:
virtual uint8_t fred_r(offs_t offset) { return 0xff; }