summaryrefslogtreecommitdiffstatshomepage
path: root/src/devices/bus/abcbus/lux10828.cpp
diff options
context:
space:
mode:
Diffstat (limited to 'src/devices/bus/abcbus/lux10828.cpp')
-rw-r--r--src/devices/bus/abcbus/lux10828.cpp4
1 files changed, 2 insertions, 2 deletions
diff --git a/src/devices/bus/abcbus/lux10828.cpp b/src/devices/bus/abcbus/lux10828.cpp
index 3bbbcb027c1..e746527568b 100644
--- a/src/devices/bus/abcbus/lux10828.cpp
+++ b/src/devices/bus/abcbus/lux10828.cpp
@@ -370,12 +370,12 @@ INPUT_PORTS_START( luxor_55_10828 )
PORT_DIPSETTING( 0x00, "44 (ABC 832/834/850)" )
PORT_DIPSETTING( 0x01, "45 (ABC 830)" )
- PORT_START("S2,S3")
+ PORT_START("S2_S3")
PORT_DIPNAME( 0x01, 0x01, "Shift Clock" )
PORT_DIPSETTING( 0x00, "2 MHz" )
PORT_DIPSETTING( 0x01, "4 MHz" )
- PORT_START("S4,S5")
+ PORT_START("S4_S5")
PORT_DIPNAME( 0x01, 0x01, "Write Precompensation" )
PORT_DIPSETTING( 0x00, "Always On" )
PORT_DIPSETTING( 0x01, "Programmable" )