summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author Osso <mesgnet@yahoo.it>2014-11-12 18:31:03 +0100
committer Osso <mesgnet@yahoo.it>2014-11-12 18:31:03 +0100
commit449e8afc348ba9818729f0cec3e094066b5e1fe3 (patch)
treebd6e788b86fc8b8c62413a20631e721e3742d6f7
parentbef8f8d855389d4f5643c3b8f828fdba98acef19 (diff)
new clones
Atari Tetris (bootleg set 3) [Guru]
-rw-r--r--src/mame/drivers/atetris.c112
-rw-r--r--src/mame/includes/atetris.h23
-rw-r--r--src/mame/mame.lst1
-rw-r--r--src/mame/video/atetris.c4
4 files changed, 114 insertions, 26 deletions
diff --git a/src/mame/drivers/atetris.c b/src/mame/drivers/atetris.c
index b65f8f17736..2f127984bdb 100644
--- a/src/mame/drivers/atetris.c
+++ b/src/mame/drivers/atetris.c
@@ -8,7 +8,8 @@
* Tetris
Known bugs:
- * none at this time
+ * the bootlegs don't actually have the slapstic. The additional
+ hardware needs to be emulated.
****************************************************************************
@@ -130,7 +131,7 @@ void atetris_state::machine_reset()
*
*************************************/
-READ8_MEMBER(atetris_state::atetris_slapstic_r)
+READ8_MEMBER(atetris_state::slapstic_r)
{
int result = m_slapstic_base[0x2000 + offset];
int new_bank = m_slapstic_device->slapstic_tweak(space, offset) & 1;
@@ -190,7 +191,7 @@ WRITE8_MEMBER(atetris_state::nvram_enable_w)
/* full address map derived from schematics */
static ADDRESS_MAP_START( main_map, AS_PROGRAM, 8, atetris_state )
AM_RANGE(0x0000, 0x0fff) AM_RAM
- AM_RANGE(0x1000, 0x1fff) AM_RAM_WRITE(atetris_videoram_w) AM_SHARE("videoram")
+ AM_RANGE(0x1000, 0x1fff) AM_RAM_WRITE(videoram_w) AM_SHARE("videoram")
AM_RANGE(0x2000, 0x20ff) AM_MIRROR(0x0300) AM_RAM_DEVWRITE("palette", palette_device, write) AM_SHARE("palette")
AM_RANGE(0x2400, 0x25ff) AM_MIRROR(0x0200) AM_RAM_WRITE(nvram_w) AM_SHARE("nvram")
AM_RANGE(0x2800, 0x280f) AM_MIRROR(0x03e0) AM_DEVREADWRITE("pokey1", pokey_device, read, write)
@@ -200,14 +201,14 @@ static ADDRESS_MAP_START( main_map, AS_PROGRAM, 8, atetris_state )
AM_RANGE(0x3800, 0x3800) AM_MIRROR(0x03ff) AM_WRITE(irq_ack_w)
AM_RANGE(0x3c00, 0x3c00) AM_MIRROR(0x03ff) AM_WRITE(coincount_w)
AM_RANGE(0x4000, 0x5fff) AM_ROM
- AM_RANGE(0x6000, 0x7fff) AM_READ(atetris_slapstic_r)
+ AM_RANGE(0x6000, 0x7fff) AM_READ(slapstic_r)
AM_RANGE(0x8000, 0xffff) AM_ROM
ADDRESS_MAP_END
static ADDRESS_MAP_START( atetrisb2_map, AS_PROGRAM, 8, atetris_state )
AM_RANGE(0x0000, 0x0fff) AM_RAM
- AM_RANGE(0x1000, 0x1fff) AM_RAM_WRITE(atetris_videoram_w) AM_SHARE("videoram")
+ AM_RANGE(0x1000, 0x1fff) AM_RAM_WRITE(videoram_w) AM_SHARE("videoram")
AM_RANGE(0x2000, 0x20ff) AM_RAM_DEVWRITE("palette", palette_device, write) AM_SHARE("palette")
AM_RANGE(0x2400, 0x25ff) AM_RAM_WRITE(nvram_w) AM_SHARE("nvram")
AM_RANGE(0x2802, 0x2802) AM_DEVWRITE("sn1", sn76496_device, write)
@@ -220,7 +221,27 @@ static ADDRESS_MAP_START( atetrisb2_map, AS_PROGRAM, 8, atetris_state )
AM_RANGE(0x3800, 0x3800) AM_WRITE(irq_ack_w)
AM_RANGE(0x3c00, 0x3c00) AM_WRITE(coincount_w)
AM_RANGE(0x4000, 0x5fff) AM_ROM
- AM_RANGE(0x6000, 0x7fff) AM_READ(atetris_slapstic_r)
+ AM_RANGE(0x6000, 0x7fff) AM_READ(slapstic_r)
+ AM_RANGE(0x8000, 0xffff) AM_ROM
+ADDRESS_MAP_END
+
+
+static ADDRESS_MAP_START( atetrisb3_map, AS_PROGRAM, 8, atetris_state )
+ AM_RANGE(0x0000, 0x0fff) AM_RAM
+ AM_RANGE(0x1000, 0x1fff) AM_RAM_WRITE(videoram_w) AM_SHARE("videoram")
+ AM_RANGE(0x2000, 0x20ff) AM_RAM_DEVWRITE("palette", palette_device, write) AM_SHARE("palette")
+ AM_RANGE(0x2400, 0x25ff) AM_RAM_WRITE(nvram_w) AM_SHARE("nvram")
+ //AM_RANGE(0x2802, 0x2802) AM_DEVWRITE("sn1", sn76489_device, write)
+ //AM_RANGE(0x2804, 0x2804) AM_DEVWRITE("sn2", sn76489_device, write)
+ //AM_RANGE(0x2806, 0x2806) AM_DEVWRITE("sn3", sn76489_device, write)
+ AM_RANGE(0x2808, 0x2808) AM_READ_PORT("IN0")
+ AM_RANGE(0x2818, 0x2818) AM_READ_PORT("IN1")
+ AM_RANGE(0x3000, 0x3000) AM_WRITE(watchdog_reset_w)
+ AM_RANGE(0x3400, 0x3400) AM_WRITE(nvram_enable_w)
+ AM_RANGE(0x3800, 0x3800) AM_WRITE(irq_ack_w)
+ AM_RANGE(0x3c00, 0x3c00) AM_WRITE(coincount_w)
+ AM_RANGE(0x4000, 0x5fff) AM_ROM
+ AM_RANGE(0x6000, 0x7fff) AM_READ(slapstic_r)
AM_RANGE(0x8000, 0xffff) AM_ROM
ADDRESS_MAP_END
@@ -321,7 +342,7 @@ static MACHINE_CONFIG_START( atetris, atetris_state )
/* note: these parameters are from published specs, not derived */
/* the board uses an SOS-2 chip to generate video signals */
MCFG_SCREEN_RAW_PARAMS(MASTER_CLOCK/2, 456, 0, 336, 262, 0, 240)
- MCFG_SCREEN_UPDATE_DRIVER(atetris_state, screen_update_atetris)
+ MCFG_SCREEN_UPDATE_DRIVER(atetris_state, screen_update)
MCFG_SCREEN_PALETTE("palette")
@@ -358,7 +379,7 @@ static MACHINE_CONFIG_START( atetrisb2, atetris_state )
/* note: these parameters are from published specs, not derived */
/* the board uses an SOS-2 chip to generate video signals */
MCFG_SCREEN_RAW_PARAMS(MASTER_CLOCK/2, 456, 0, 336, 262, 0, 240)
- MCFG_SCREEN_UPDATE_DRIVER(atetris_state, screen_update_atetris)
+ MCFG_SCREEN_UPDATE_DRIVER(atetris_state, screen_update)
MCFG_SCREEN_PALETTE("palette")
@@ -376,6 +397,24 @@ static MACHINE_CONFIG_START( atetrisb2, atetris_state )
MACHINE_CONFIG_END
+static MACHINE_CONFIG_DERIVED( atetrisb3, atetrisb2 )
+
+ MCFG_CPU_REPLACE("maincpu", M6502, MASTER_CLOCK/8)
+ MCFG_CPU_PROGRAM_MAP(atetrisb3_map)
+
+ //8749 at 10 MHz instead of slapstic
+
+ MCFG_SOUND_REPLACE("sn1", SN76489, 4000000)
+ MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 0.50)
+
+ MCFG_SOUND_REPLACE("sn2", SN76489, 4000000)
+ MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 0.50)
+
+ MCFG_SOUND_REPLACE("sn3", SN76489, 4000000)
+ MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 0.50)
+MACHINE_CONFIG_END
+
+
/*************************************
*
@@ -428,6 +467,50 @@ ROM_START( atetrisb2 )
ROM_END
+/*
+Tetris (Korean bootleg of atetrisa set)
+
+PCB Layout
+----------
+
+RC-1108
+|---------------------------------------------------|
+| 14.31818MHz|
+| PAL |
+| |
+| P8749H 6116 |
+|J |
+|A 10MHz 27512 |
+|M PAL |
+|M 62256 |
+|A |
+| 27512 |
+| PAL |
+| PAL PAL |
+|76489 76489 4MHz 82S123 |
+|76489 6502 |
+|VOL MB3713 PAL |
+|---------------------------------------------------|
+*/
+
+ROM_START( atetrisb3 )
+ ROM_REGION( 0x18000, "maincpu", 0 )
+ ROM_LOAD( "prg.bin", 0x10000, 0x8000, CRC(2bcab107) SHA1(3cfb8df8cd3782f3ff7f6b32ff15c461352061ee) )
+ ROM_CONTINUE( 0x08000, 0x8000 )
+
+ ROM_REGION( 0x10000, "gfx1", 0 )
+ ROM_LOAD( "gfx.bin", 0x0000, 0x10000, CRC(84a1939f) SHA1(d8577985fc8ed4e74f74c68b7c00c4855b7c3270) )
+
+ // 8749 (10 MHz OSC) instead of the slapstic, needs to be hooked up.
+ ROM_REGION( 0x0800, "user1", 0 )
+ ROM_LOAD( "8749h.bin", 0x0000, 0x0800, CRC(a66a9c47) SHA1(fbebd755a5e826c7d94ebcafdff2f9a01c9fd1a5) )
+
+ // currently unused
+ ROM_REGION( 0x0020, "proms", 0 )
+ ROM_LOAD( "82s123.bin", 0x00000, 0x0020, CRC(79656af3) SHA1(bf55f100806520b291157c03999606367dd14ecc) )
+ROM_END
+
+
ROM_START( atetrisc )
ROM_REGION( 0x18000, "maincpu", 0 )
ROM_LOAD( "tetcktl1.rom", 0x10000, 0x8000, CRC(9afd1f4a) SHA1(323d1576d92c905e8e95108b39cabf6fa0c10db6) )
@@ -472,9 +555,10 @@ DRIVER_INIT_MEMBER(atetris_state,atetris)
*
*************************************/
-GAME( 1988, atetris, 0, atetris, atetris, atetris_state, atetris, ROT0, "Atari Games", "Tetris (set 1)", GAME_SUPPORTS_SAVE )
-GAME( 1988, atetrisa, atetris, atetris, atetris, atetris_state, atetris, ROT0, "Atari Games", "Tetris (set 2)", GAME_SUPPORTS_SAVE )
-GAME( 1988, atetrisb, atetris, atetris, atetris, atetris_state, atetris, ROT0, "bootleg", "Tetris (bootleg set 1)", GAME_SUPPORTS_SAVE )
-GAME( 1988, atetrisb2,atetris, atetrisb2,atetris, atetris_state, atetris, ROT0, "bootleg", "Tetris (bootleg set 2)", GAME_SUPPORTS_SAVE )
-GAME( 1989, atetrisc, atetris, atetris, atetrisc, atetris_state, atetris, ROT270, "Atari Games", "Tetris (cocktail set 1)", GAME_SUPPORTS_SAVE )
-GAME( 1989, atetrisc2,atetris, atetris, atetrisc, atetris_state, atetris, ROT270, "Atari Games", "Tetris (cocktail set 2)", GAME_SUPPORTS_SAVE )
+GAME( 1988, atetris, 0, atetris, atetris, atetris_state, atetris, ROT0, "Atari Games", "Tetris (set 1)", GAME_SUPPORTS_SAVE )
+GAME( 1988, atetrisa, atetris, atetris, atetris, atetris_state, atetris, ROT0, "Atari Games", "Tetris (set 2)", GAME_SUPPORTS_SAVE )
+GAME( 1988, atetrisb, atetris, atetris, atetris, atetris_state, atetris, ROT0, "bootleg", "Tetris (bootleg set 1)", GAME_SUPPORTS_SAVE )
+GAME( 1988, atetrisb2,atetris, atetrisb2, atetris, atetris_state, atetris, ROT0, "bootleg", "Tetris (bootleg set 2)", GAME_SUPPORTS_SAVE )
+GAME( 1988, atetrisb3,atetris, atetrisb3, atetris, atetris_state, atetris, ROT0, "bootleg", "Tetris (bootleg set 3)", GAME_NO_SOUND | GAME_SUPPORTS_SAVE )
+GAME( 1989, atetrisc, atetris, atetris, atetrisc, atetris_state, atetris, ROT270, "Atari Games", "Tetris (cocktail set 1)", GAME_SUPPORTS_SAVE )
+GAME( 1989, atetrisc2,atetris, atetris, atetrisc, atetris_state, atetris, ROT270, "Atari Games", "Tetris (cocktail set 2)", GAME_SUPPORTS_SAVE )
diff --git a/src/mame/includes/atetris.h b/src/mame/includes/atetris.h
index 5d0d258aa36..027dd5bf1a1 100644
--- a/src/mame/includes/atetris.h
+++ b/src/mame/includes/atetris.h
@@ -11,38 +11,41 @@ class atetris_state : public driver_device
public:
atetris_state(const machine_config &mconfig, device_type type, const char *tag)
: driver_device(mconfig, type, tag),
- m_nvram(*this, "nvram") ,
- m_videoram(*this, "videoram"),
m_maincpu(*this, "maincpu"),
m_gfxdecode(*this, "gfxdecode"),
m_screen(*this, "screen"),
- m_slapstic_device(*this, "slapstic")
+ m_slapstic_device(*this, "slapstic"),
+ m_nvram(*this, "nvram") ,
+ m_videoram(*this, "videoram")
{ }
+ required_device<cpu_device> m_maincpu;
+ required_device<gfxdecode_device> m_gfxdecode;
+ required_device<screen_device> m_screen;
+ optional_device<atari_slapstic_device> m_slapstic_device;
+
required_shared_ptr<UINT8> m_nvram;
required_shared_ptr<UINT8> m_videoram;
+
UINT8 *m_slapstic_source;
UINT8 *m_slapstic_base;
UINT8 m_current_bank;
UINT8 m_nvram_write_enable;
emu_timer *m_interrupt_timer;
tilemap_t *m_bg_tilemap;
+
DECLARE_WRITE8_MEMBER(irq_ack_w);
- DECLARE_READ8_MEMBER(atetris_slapstic_r);
+ DECLARE_READ8_MEMBER(slapstic_r);
DECLARE_WRITE8_MEMBER(coincount_w);
DECLARE_WRITE8_MEMBER(nvram_w);
DECLARE_WRITE8_MEMBER(nvram_enable_w);
- DECLARE_WRITE8_MEMBER(atetris_videoram_w);
+ DECLARE_WRITE8_MEMBER(videoram_w);
DECLARE_DRIVER_INIT(atetris);
TILE_GET_INFO_MEMBER(get_tile_info);
virtual void machine_start();
virtual void machine_reset();
virtual void video_start();
- UINT32 screen_update_atetris(screen_device &screen, bitmap_ind16 &bitmap, const rectangle &cliprect);
+ UINT32 screen_update(screen_device &screen, bitmap_ind16 &bitmap, const rectangle &cliprect);
TIMER_CALLBACK_MEMBER(interrupt_gen);
void reset_bank();
- required_device<cpu_device> m_maincpu;
- required_device<gfxdecode_device> m_gfxdecode;
- required_device<screen_device> m_screen;
- optional_device<atari_slapstic_device> m_slapstic_device;
};
diff --git a/src/mame/mame.lst b/src/mame/mame.lst
index 907d1cdaf36..53ea96654d6 100644
--- a/src/mame/mame.lst
+++ b/src/mame/mame.lst
@@ -7207,6 +7207,7 @@ atetris // 136066 (c) 1988
atetrisa // 136066 (c) 1988
atetrisb // (bootleg)
atetrisb2 // (bootleg)
+atetrisb3 // (bootleg)
// Escape from the Planet of Robot Monsters
eprom // 136069 (c) 1989
eprom2 // 136069 (c) 1989
diff --git a/src/mame/video/atetris.c b/src/mame/video/atetris.c
index 590fa0c2ecd..c0f6432c62b 100644
--- a/src/mame/video/atetris.c
+++ b/src/mame/video/atetris.c
@@ -31,7 +31,7 @@ TILE_GET_INFO_MEMBER(atetris_state::get_tile_info)
*
*************************************/
-WRITE8_MEMBER(atetris_state::atetris_videoram_w)
+WRITE8_MEMBER(atetris_state::videoram_w)
{
UINT8 *videoram = m_videoram;
@@ -60,7 +60,7 @@ void atetris_state::video_start()
*
*************************************/
-UINT32 atetris_state::screen_update_atetris(screen_device &screen, bitmap_ind16 &bitmap, const rectangle &cliprect)
+UINT32 atetris_state::screen_update(screen_device &screen, bitmap_ind16 &bitmap, const rectangle &cliprect)
{
m_bg_tilemap->draw(screen, bitmap, cliprect, 0,0);
return 0;