summaryrefslogtreecommitdiffstatshomepage
diff options
context:
space:
mode:
author Vas Crabb <vas@vastheman.com>2016-09-26 04:46:55 +1000
committer Vas Crabb <vas@vastheman.com>2016-09-26 04:51:59 +1000
commit33b2c733955112083b2912d4115eb0465026b4d0 (patch)
treefbbf0f355577aaf9a18dfa5ce269615ed5e70bec
parentfbbc293041838bf6007c439c57745f79b2c8d4fb (diff)
srcclean (nw)
-rw-r--r--plugins/data/data_hiscore.lua8
-rw-r--r--plugins/data/load_dat.lua4
-rw-r--r--scripts/src/benchmarks.lua2
-rw-r--r--scripts/src/tools.lua2
-rw-r--r--src/devices/bus/bbc/1mhzbus/opus3.cpp2
-rw-r--r--src/devices/bus/coco/coco_fdc.cpp34
-rw-r--r--src/devices/bus/coco/coco_fdc.h8
-rw-r--r--src/devices/bus/coco/cococart.cpp2
-rw-r--r--src/devices/bus/coco/cococart.h4
-rw-r--r--src/devices/bus/coco/dragon_fdc.cpp142
-rw-r--r--src/devices/bus/electron/plus3.cpp4
-rw-r--r--src/devices/bus/pc1512/mouse.h18
-rw-r--r--src/devices/machine/68230pit.cpp2
-rw-r--r--src/devices/machine/6840ptm.h22
-rw-r--r--src/devices/machine/fga002.cpp214
-rw-r--r--src/devices/machine/fga002.h116
-rw-r--r--src/devices/machine/i82371sb.cpp4
-rw-r--r--src/devices/machine/scnxx562.cpp26
-rw-r--r--src/devices/machine/scnxx562.h24
-rw-r--r--src/devices/video/gba_lcd.cpp4
-rw-r--r--src/devices/video/mga2064w.cpp8
-rw-r--r--src/emu/diimage.cpp4
-rw-r--r--src/emu/dirom.cpp1
-rw-r--r--src/emu/input.cpp8
-rw-r--r--src/emu/romload.cpp1
-rw-r--r--src/emu/softlist.cpp2
-rw-r--r--src/emu/softlist.h1
-rw-r--r--src/frontend/mame/ui/info.cpp2
-rw-r--r--src/frontend/mame/ui/info.h1
-rw-r--r--src/frontend/mame/ui/selgame.cpp1
-rw-r--r--src/lib/util/opresolv.cpp68
-rw-r--r--src/lib/util/opresolv.h54
-rw-r--r--src/lib/util/unicode.cpp6
-rw-r--r--src/mame/drivers/alpha68k.cpp4
-rw-r--r--src/mame/drivers/apple2e.cpp16
-rw-r--r--src/mame/drivers/artmagic.cpp2
-rw-r--r--src/mame/drivers/chessmst.cpp4
-rw-r--r--src/mame/drivers/cmi.cpp20
-rw-r--r--src/mame/drivers/cmmb.cpp16
-rw-r--r--src/mame/drivers/cninja.cpp2
-rw-r--r--src/mame/drivers/combatsc.cpp8
-rw-r--r--src/mame/drivers/didact.cpp184
-rw-r--r--src/mame/drivers/fccpu30.cpp24
-rw-r--r--src/mame/drivers/fidel6502.cpp6
-rw-r--r--src/mame/drivers/flower.cpp26
-rw-r--r--src/mame/drivers/hh_tms1k.cpp14
-rw-r--r--src/mame/drivers/jackal.cpp2
-rw-r--r--src/mame/drivers/jangou.cpp6
-rw-r--r--src/mame/drivers/kim1.cpp2
-rw-r--r--src/mame/drivers/mac.cpp2
-rw-r--r--src/mame/drivers/mexico86.cpp2
-rw-r--r--src/mame/drivers/mpu4.cpp2
-rw-r--r--src/mame/drivers/namcos1.cpp4
-rw-r--r--src/mame/drivers/namcos10.cpp4
-rw-r--r--src/mame/drivers/naomi.cpp6
-rw-r--r--src/mame/drivers/nbmj8688.cpp14
-rw-r--r--src/mame/drivers/nbmj8891.cpp28
-rw-r--r--src/mame/drivers/nbmj8991.cpp14
-rw-r--r--src/mame/drivers/octopus.cpp26
-rw-r--r--src/mame/drivers/pacman.cpp26
-rw-r--r--src/mame/drivers/pc1512.cpp10
-rw-r--r--src/mame/drivers/pcipc.cpp4
-rw-r--r--src/mame/drivers/pg685.cpp34
-rw-r--r--src/mame/drivers/photon2.cpp6
-rw-r--r--src/mame/drivers/popeye.cpp2
-rw-r--r--src/mame/drivers/rc702.cpp2
-rw-r--r--src/mame/drivers/rollrace.cpp4
-rw-r--r--src/mame/drivers/saturn.cpp2
-rw-r--r--src/mame/drivers/sauro.cpp4
-rw-r--r--src/mame/drivers/seattle.cpp22
-rw-r--r--src/mame/drivers/segas24.cpp4
-rw-r--r--src/mame/drivers/seta2.cpp36
-rw-r--r--src/mame/drivers/superqix.cpp422
-rw-r--r--src/mame/drivers/system16.cpp4
-rw-r--r--src/mame/drivers/taito_b.cpp2
-rw-r--r--src/mame/drivers/taitosj.cpp18
-rw-r--r--src/mame/drivers/tecmo.cpp4
-rw-r--r--src/mame/drivers/vector06.cpp2
-rw-r--r--src/mame/drivers/vt100.cpp24
-rw-r--r--src/mame/drivers/wc90b.cpp2
-rw-r--r--src/mame/drivers/x1.cpp2
-rw-r--r--src/mame/drivers/zn.cpp12
-rw-r--r--src/mame/includes/combatsc.h2
-rw-r--r--src/mame/includes/rollrace.h2
-rw-r--r--src/mame/layout/calspeed.lay6
-rw-r--r--src/mame/layout/chessmst.lay4
-rw-r--r--src/mame/layout/chessmstdm.lay4
-rw-r--r--src/mame/layout/goldnpkr.lay2
-rw-r--r--src/mame/layout/hyprdriv.lay18
-rw-r--r--[-rwxr-xr-x]src/mame/layout/mp68a.lay0
-rw-r--r--src/mame/layout/tcfballa.lay2
-rw-r--r--src/mame/layout/vaportrx.lay4
-rw-r--r--src/mame/machine/dec_lk201.cpp20
-rw-r--r--src/mame/machine/mexico86.cpp8
-rw-r--r--src/mame/machine/naomibd.cpp6
-rw-r--r--src/mame/machine/ns10crypt.cpp10
-rw-r--r--src/mame/machine/ns10crypt.h6
-rw-r--r--src/mame/machine/pc1512kb.h2
-rw-r--r--src/mame/machine/taitosj.cpp6
-rw-r--r--src/mame/mame.lst12
-rw-r--r--src/mame/video/combatsc.cpp6
-rw-r--r--src/mame/video/rollrace.cpp6
-rw-r--r--src/mame/video/seta2.cpp6
-rw-r--r--src/mame/video/superqix.cpp2
-rw-r--r--src/osd/modules/input/input_rawinput.cpp2
-rw-r--r--src/osd/modules/monitor/monitor_common.h2
-rw-r--r--src/osd/modules/monitor/monitor_dxgi.cpp4
-rw-r--r--src/osd/modules/monitor/monitor_sdl.cpp4
-rw-r--r--src/osd/modules/monitor/monitor_win32.cpp2
-rw-r--r--src/osd/modules/render/drawbgfx.cpp10
-rw-r--r--src/osd/windows/window.cpp2
-rw-r--r--src/tools/imgtool/filter.cpp2
-rw-r--r--src/tools/imgtool/imgtool.cpp2
-rw-r--r--src/tools/imgtool/library.h4
-rw-r--r--src/tools/imgtool/main.cpp2
-rw-r--r--src/tools/imgtool/modules/hp9845_tape.cpp346
116 files changed, 1196 insertions, 1205 deletions
diff --git a/plugins/data/data_hiscore.lua b/plugins/data/data_hiscore.lua
index 5f1a0f70521..3e46fabe50a 100644
--- a/plugins/data/data_hiscore.lua
+++ b/plugins/data/data_hiscore.lua
@@ -515,7 +515,7 @@ function dat.check(set, softlist)
dat[#dat + 1] = check_format(col["format"])
dat[#dat + 1] = "val = tempform(val)"
end
- if igncol == col["id"] then
+ if igncol == col["id"] then
dat[#dat + 1] = "checkval = val"
end
dat[#dat + 1] = "line = line .. val .. '\\t'"
@@ -546,7 +546,7 @@ function dat.check(set, softlist)
end
return script
end
-
+
if #env == 0 then
function env.open(file, size)
if file == ".hi" then
@@ -765,7 +765,7 @@ function dat.check(set, softlist)
local scrfile = emu.file(lfs.env_replace(mame_manager:ui():options().entries.historypath:value():gsub("([^;]+)", "%1/hi2txt")), 1)
local ret = scrfile:open(set .. ".lua")
local script
- if ret then
+ if ret then
local xml = xml_parse()
if not xml then
return nil
@@ -787,7 +787,7 @@ function dat.check(set, softlist)
if not status then
emu.print_verbose("error in hi score parse script: " .. output)
output = nil
- end
+ end
end
if output then
return "High Scores"
diff --git a/plugins/data/load_dat.lua b/plugins/data/load_dat.lua
index 72956a8becb..e6d0c5d63b8 100644
--- a/plugins/data/load_dat.lua
+++ b/plugins/data/load_dat.lua
@@ -55,7 +55,7 @@ function datfile.open(file, vertag)
set:gsub("([^,]+)", function(s) sets[#sets + 1] = s end)
repeat
tag1, epos = iter()
- until tag1:sub(1, 1) == "$"
+ until tag1:sub(1, 1) == "$"
tag1 = tag1:match("^$([^%s]*)")
if not data[tag1] then
data[tag1] = {}
@@ -94,4 +94,4 @@ function datfile.open(file, vertag)
return read, ver
end
-return datfile
+return datfile
diff --git a/scripts/src/benchmarks.lua b/scripts/src/benchmarks.lua
index 68ca0714c84..2e1f97405a2 100644
--- a/scripts/src/benchmarks.lua
+++ b/scripts/src/benchmarks.lua
@@ -36,7 +36,7 @@ project "benchmark"
MAME_DIR .. "3rdparty/benchmark/src/reporter.cc",
MAME_DIR .. "3rdparty/benchmark/src/sleep.cc",
MAME_DIR .. "3rdparty/benchmark/src/string_util.cc",
- MAME_DIR .. "3rdparty/benchmark/src/sysinfo.cc",
+ MAME_DIR .. "3rdparty/benchmark/src/sysinfo.cc",
MAME_DIR .. "3rdparty/benchmark/src/timers.cc",
MAME_DIR .. "3rdparty/benchmark/src/re_std.cc",
}
diff --git a/scripts/src/tools.lua b/scripts/src/tools.lua
index ed7355b228b..0d784113b82 100644
--- a/scripts/src/tools.lua
+++ b/scripts/src/tools.lua
@@ -734,7 +734,7 @@ files {
MAME_DIR .. "src/tools/imgtool/modules/psion.cpp",
MAME_DIR .. "src/tools/imgtool/modules/bml3.cpp",
MAME_DIR .. "src/tools/imgtool/modules/hp48.cpp",
- MAME_DIR .. "src/tools/imgtool/modules/hp9845_tape.cpp",
+ MAME_DIR .. "src/tools/imgtool/modules/hp9845_tape.cpp",
}
configuration { "mingw*" or "vs*" }
diff --git a/src/devices/bus/bbc/1mhzbus/opus3.cpp b/src/devices/bus/bbc/1mhzbus/opus3.cpp
index 93ed612ac97..b5d4e992940 100644
--- a/src/devices/bus/bbc/1mhzbus/opus3.cpp
+++ b/src/devices/bus/bbc/1mhzbus/opus3.cpp
@@ -202,4 +202,4 @@ WRITE8_MEMBER(bbc_opus3_device::ramdisk_w)
{
if ((m_ramdisk_page << 8) < m_ramdisk->size())
m_ramdisk->write((m_ramdisk_page << 8) + offset, data);
-} \ No newline at end of file
+}
diff --git a/src/devices/bus/coco/coco_fdc.cpp b/src/devices/bus/coco/coco_fdc.cpp
index ba14e70470d..8e19f273ee0 100644
--- a/src/devices/bus/coco/coco_fdc.cpp
+++ b/src/devices/bus/coco/coco_fdc.cpp
@@ -28,15 +28,15 @@
DSKREG - the control register
CoCo ($FF40)
- Bit
- 7 halt enable flag
+ Bit
+ 7 halt enable flag
6 drive select #3
5 density (0=single, 1=double) and NMI enable flag
4 write precompensation
3 drive motor activation
- 2 drive select #2
- 1 drive select #1
- 0 drive select #0
+ 2 drive select #2
+ 1 drive select #1
+ 0 drive select #0
Reading from $FF48-$FF4F clears bit 7 of DSKREG ($FF40)
@@ -95,9 +95,9 @@ protected:
rtc_type real_time_clock();
// devices
- required_device<wd1773_t> m_wd17xx;
- required_device<ds1315_device> m_ds1315;
- required_device_array<floppy_connector, 4> m_floppies;
+ required_device<wd1773_t> m_wd17xx;
+ required_device<ds1315_device> m_ds1315;
+ required_device_array<floppy_connector, 4> m_floppies;
// Disto RTC
required_device<msm6242_device> m_disto_msm6242; // 6242 RTC on Disto interface
@@ -137,7 +137,7 @@ MACHINE_CONFIG_END
//***************************************************************************
-// COCO FAMILY FDCs - base class for CoCo/Dragon
+// COCO FAMILY FDCs - base class for CoCo/Dragon
//***************************************************************************
//-------------------------------------------------
@@ -165,7 +165,7 @@ void coco_family_fdc_device_base::device_reset()
//-------------------------------------------------
-// coco_family_fdc_device_base::get_cart_base
+// coco_family_fdc_device_base::get_cart_base
//-------------------------------------------------
UINT8* coco_family_fdc_device_base::get_cart_base()
@@ -175,7 +175,7 @@ UINT8* coco_family_fdc_device_base::get_cart_base()
//***************************************************************************
-// COCO FDCs
+// COCO FDCs
//***************************************************************************
//-------------------------------------------------
@@ -194,7 +194,7 @@ coco_fdc_device_base::coco_fdc_device_base(const machine_config &mconfig, device
}
//-------------------------------------------------
-// real_time_clock
+// real_time_clock
//-------------------------------------------------
coco_fdc_device_base::rtc_type coco_fdc_device_base::real_time_clock()
@@ -224,7 +224,7 @@ machine_config_constructor coco_fdc_device_base::device_mconfig_additions() cons
//-------------------------------------------------
-// update_lines - CoCo specific disk
+// update_lines - CoCo specific disk
// controller lines
//-------------------------------------------------
@@ -243,7 +243,7 @@ void coco_fdc_device_base::update_lines()
//-------------------------------------------------
-// dskreg_w - function to write to CoCo dskreg
+// dskreg_w - function to write to CoCo dskreg
//-------------------------------------------------
void coco_fdc_device_base::dskreg_w(UINT8 data)
@@ -305,7 +305,7 @@ void coco_fdc_device_base::dskreg_w(UINT8 data)
//-------------------------------------------------
-// read
+// read
//-------------------------------------------------
READ8_MEMBER(coco_fdc_device_base::read)
@@ -357,7 +357,7 @@ READ8_MEMBER(coco_fdc_device_base::read)
//-------------------------------------------------
-// write
+// write
//-------------------------------------------------
WRITE8_MEMBER(coco_fdc_device_base::write)
@@ -400,7 +400,7 @@ WRITE8_MEMBER(coco_fdc_device_base::write)
//**************************************************************************
-// COCO FDC
+// COCO FDC
//**************************************************************************
ROM_START(coco_fdc)
diff --git a/src/devices/bus/coco/coco_fdc.h b/src/devices/bus/coco/coco_fdc.h
index f394355e25a..90366b7e3ef 100644
--- a/src/devices/bus/coco/coco_fdc.h
+++ b/src/devices/bus/coco/coco_fdc.h
@@ -2,9 +2,9 @@
// copyright-holders:Nathan Woods
/*********************************************************************
- coco_fdc.h
+ coco_fdc.h
- CoCo/Dragon Floppy Disk Controller
+ CoCo/Dragon Floppy Disk Controller
*********************************************************************/
@@ -17,7 +17,7 @@
/***************************************************************************
- TYPE DEFINITIONS
+ TYPE DEFINITIONS
***************************************************************************/
// ======================> coco_family_fdc_device_base
@@ -45,7 +45,7 @@ protected:
virtual void device_start() override;
virtual void device_reset() override;
- // FDC overrides
+ // FDC overrides
virtual void update_lines() = 0;
virtual UINT8* get_cart_base() override;
diff --git a/src/devices/bus/coco/cococart.cpp b/src/devices/bus/coco/cococart.cpp
index bd293b60a4a..0024992c2de 100644
--- a/src/devices/bus/coco/cococart.cpp
+++ b/src/devices/bus/coco/cococart.cpp
@@ -192,7 +192,7 @@ void cococart_slot_device::set_line(const char *line_name, coco_cartridge_line &
if (LOG_LINE)
logerror("[%s]: set_line(): %s <= %s\n", machine().describe_context(), line_name, line_value_string(value));
- // engage in a bit of gymnastics for this odious 'Q' value
+ // engage in a bit of gymnastics for this odious 'Q' value
switch(line.value)
{
case line_value::CLEAR:
diff --git a/src/devices/bus/coco/cococart.h b/src/devices/bus/coco/cococart.h
index 925b942038a..759bfdf9e85 100644
--- a/src/devices/bus/coco/cococart.h
+++ b/src/devices/bus/coco/cococart.h
@@ -117,10 +117,10 @@ private:
emu_timer *timer[TIMER_POOL];
int timer_index;
int delay;
- line_value value;
+ line_value value;
int line;
int q_count;
- devcb_write_line * callback;
+ devcb_write_line * callback;
};
// configuration
diff --git a/src/devices/bus/coco/dragon_fdc.cpp b/src/devices/bus/coco/dragon_fdc.cpp
index d8a147110aa..c5247ff59bd 100644
--- a/src/devices/bus/coco/dragon_fdc.cpp
+++ b/src/devices/bus/coco/dragon_fdc.cpp
@@ -2,66 +2,66 @@
// copyright-holders:Nathan Woods
/*********************************************************************
- dragon_fdc.cpp
-
- Dragon Floppy Disk Controller
-
- The CoCo and Dragon both use the Western Digital floppy disk controllers.
- The CoCo uses either the WD1793 or the WD1773, the Dragon uses the WD2797,
- which mostly uses the same command set with some subtle differences, most
- notably the 2797 handles disk side select internally. The Dragon Alpha also
- uses the WD2797, however as this is a built in interface and not an external
- cartrige, it is dealt with in the main coco.cpp file.
-
- The wd's variables are mapped to $FF48-$FF4B on the CoCo and on $FF40-$FF43
- on the Dragon. In addition, there is another register
- called DSKREG that controls the interface with the wd1793. DSKREG is
- detailed below: But they appear to be
-
- References:
- CoCo: Disk Basic Unravelled
- Dragon: Inferences from the PC-Dragon source code
- DragonDos Controller, Disk and File Formats by Graham E Kinns
-
- ---------------------------------------------------------------------------
-
- DSKREG - the control register
- Dragon ($FF48)
-
- Bit
- 7 not used
- 6 not used
- 5 NMI enable flag
- 4 write precompensation
- 3 single density enable
- 2 drive motor activation
- 1 drive select high bit
- 0 drive select low bit
-
- ---------------------------------------------------------------------------
-
- 2007-02-22, P.Harvey-Smith
-
- Began implementing the Dragon Delta Dos controller, this was actually the first
- Dragon disk controller to market, beating Dragon Data's by a couple of months,
- it is based around the WD2791 FDC, which is compatible with the WD1793/WD2797 used
- by the standard CoCo and Dragon disk controllers except that it used an inverted
- data bus, which is the reason the read/write handlers invert the data. This
- controller like, the DragonDos WD2797 is mapped at $FF40-$FF43, in the normal
- register order.
-
- The Delta cart also has a register (74LS174 hex flipflop) at $FF44 encoded as
- follows :-
-
- Bit
- 7 not used
- 6 not used
- 5 not used
- 4 Single (0) / Double (1) density select
- 3 5.25"(0) / 8"(1) Clock select
- 2 Side select
- 1 Drive select ms bit
- 0 Drive select ls bit
+ dragon_fdc.cpp
+
+ Dragon Floppy Disk Controller
+
+ The CoCo and Dragon both use the Western Digital floppy disk controllers.
+ The CoCo uses either the WD1793 or the WD1773, the Dragon uses the WD2797,
+ which mostly uses the same command set with some subtle differences, most
+ notably the 2797 handles disk side select internally. The Dragon Alpha also
+ uses the WD2797, however as this is a built in interface and not an external
+ cartrige, it is dealt with in the main coco.cpp file.
+
+ The wd's variables are mapped to $FF48-$FF4B on the CoCo and on $FF40-$FF43
+ on the Dragon. In addition, there is another register
+ called DSKREG that controls the interface with the wd1793. DSKREG is
+ detailed below: But they appear to be
+
+ References:
+ CoCo: Disk Basic Unravelled
+ Dragon: Inferences from the PC-Dragon source code
+ DragonDos Controller, Disk and File Formats by Graham E Kinns
+
+ ---------------------------------------------------------------------------
+
+ DSKREG - the control register
+ Dragon ($FF48)
+
+ Bit
+ 7 not used
+ 6 not used
+ 5 NMI enable flag
+ 4 write precompensation
+ 3 single density enable
+ 2 drive motor activation
+ 1 drive select high bit
+ 0 drive select low bit
+
+ ---------------------------------------------------------------------------
+
+ 2007-02-22, P.Harvey-Smith
+
+ Began implementing the Dragon Delta Dos controller, this was actually the first
+ Dragon disk controller to market, beating Dragon Data's by a couple of months,
+ it is based around the WD2791 FDC, which is compatible with the WD1793/WD2797 used
+ by the standard CoCo and Dragon disk controllers except that it used an inverted
+ data bus, which is the reason the read/write handlers invert the data. This
+ controller like, the DragonDos WD2797 is mapped at $FF40-$FF43, in the normal
+ register order.
+
+ The Delta cart also has a register (74LS174 hex flipflop) at $FF44 encoded as
+ follows :-
+
+ Bit
+ 7 not used
+ 6 not used
+ 5 not used
+ 4 Single (0) / Double (1) density select
+ 3 5.25"(0) / 8"(1) Clock select
+ 2 Side select
+ 1 Drive select ms bit
+ 0 Drive select ls bit
*********************************************************************/
@@ -77,7 +77,7 @@
/***************************************************************************
- PARAMETERS
+ PARAMETERS
***************************************************************************/
#define LOG_FDC 0
@@ -85,7 +85,7 @@
/***************************************************************************
- TYPE DEFINITIONS
+ TYPE DEFINITIONS
***************************************************************************/
namespace
@@ -105,8 +105,8 @@ namespace
private:
// device references
- required_device<wd2797_t> m_wd2797;
- required_device_array<floppy_connector, 4> m_floppies;
+ required_device<wd2797_t> m_wd2797;
+ required_device_array<floppy_connector, 4> m_floppies;
// methods
void dskreg_w(UINT8 data);
@@ -114,7 +114,7 @@ namespace
};
/***************************************************************************
- LOCAL VARIABLES
+ LOCAL VARIABLES
***************************************************************************/
static SLOT_INTERFACE_START(dragon_fdc_device_base)
@@ -135,7 +135,7 @@ MACHINE_CONFIG_END
//**************************************************************************
-// IMPLEMENTATION
+// IMPLEMENTATION
//**************************************************************************
//-------------------------------------------------
@@ -161,8 +161,8 @@ machine_config_constructor dragon_fdc_device_base::device_mconfig_additions() co
//-------------------------------------------------
-// update_lines - Dragon specific disk
-// controller lines
+// update_lines - Dragon specific disk
+// controller lines
//-------------------------------------------------
void dragon_fdc_device_base::update_lines()
@@ -176,7 +176,7 @@ void dragon_fdc_device_base::update_lines()
//-------------------------------------------------
-// dskreg_w - function to write to
+// dskreg_w - function to write to
// Dragon dskreg
//-------------------------------------------------
@@ -213,7 +213,7 @@ void dragon_fdc_device_base::dskreg_w(UINT8 data)
//-------------------------------------------------
-// read
+// read
//-------------------------------------------------
READ8_MEMBER(dragon_fdc_device_base::read)
@@ -240,7 +240,7 @@ READ8_MEMBER(dragon_fdc_device_base::read)
//-------------------------------------------------
-// write
+// write
//-------------------------------------------------
WRITE8_MEMBER(dragon_fdc_device_base::write)
diff --git a/src/devices/bus/electron/plus3.cpp b/src/devices/bus/electron/plus3.cpp
index 2a4e1eadec6..ce1e859ac59 100644
--- a/src/devices/bus/electron/plus3.cpp
+++ b/src/devices/bus/electron/plus3.cpp
@@ -7,7 +7,7 @@
http://chrisacorns.computinghistory.org.uk/8bit_Upgrades/Acorn_Plus3.html
The Acorn Plus 3 was a hardware module that connected independently
- of the Plus 1 and provided a double-density 3½" disc drive connected
+ of the Plus 1 and provided a double-density 3.5" disc drive connected
through a WD1770 drive controller and an ADFS ROM. There were two
versions of the Plus 3 produced: A Single-sided and a Double-sided
drive version.
@@ -155,4 +155,4 @@ WRITE8_MEMBER(electron_plus3_device::wd1770_status_w)
// bit 5: reset
if (!BIT(data, 5)) m_fdc->soft_reset();
-} \ No newline at end of file
+}
diff --git a/src/devices/bus/pc1512/mouse.h b/src/devices/bus/pc1512/mouse.h
index 88f9d182fd8..38eca0ac0bc 100644
--- a/src/devices/bus/pc1512/mouse.h
+++ b/src/devices/bus/pc1512/mouse.h
@@ -6,15 +6,15 @@
**********************************************************************
- 1 XA
- 2 XB
- 3 YA
- 4 YB
- 5
- 6 M1
- 7 +5V
- 8 GND
- 9 M2
+ 1 XA
+ 2 XB
+ 3 YA
+ 4 YB
+ 5
+ 6 M1
+ 7 +5V
+ 8 GND
+ 9 M2
**********************************************************************/
diff --git a/src/devices/machine/68230pit.cpp b/src/devices/machine/68230pit.cpp
index a737989d7d2..725d6fa42cd 100644
--- a/src/devices/machine/68230pit.cpp
+++ b/src/devices/machine/68230pit.cpp
@@ -30,7 +30,7 @@
#define LOGPRINT(x) do { if (VERBOSE) logerror x; } while (0)
#define LOG(x)
#define LOGR(x)
-#define LOGINT(x) LOGPRINT(x)
+#define LOGINT(x) LOGPRINT(x)
#define LOGSETUP(x) LOGPRINT(x)
#if VERBOSE == 2
#define logerror printf
diff --git a/src/devices/machine/6840ptm.h b/src/devices/machine/6840ptm.h
index 4165bf5db28..c17f9d1b04d 100644
--- a/src/devices/machine/6840ptm.h
+++ b/src/devices/machine/6840ptm.h
@@ -110,21 +110,21 @@ private:
enum
{
- RESET_TIMERS = 0x01,
- CR1_SELECT = 0x01,
- T3_PRESCALE_EN = 0x01,
- INTERNAL_CLK_EN = 0x02,
- COUNT_MODE_8BIT = 0x04,
- INTERRUPT_EN = 0x40,
- COUNT_OUT_EN = 0x80
+ RESET_TIMERS = 0x01,
+ CR1_SELECT = 0x01,
+ T3_PRESCALE_EN = 0x01,
+ INTERNAL_CLK_EN = 0x02,
+ COUNT_MODE_8BIT = 0x04,
+ INTERRUPT_EN = 0x40,
+ COUNT_OUT_EN = 0x80
};
enum
{
- TIMER1_IRQ = 0x01,
- TIMER2_IRQ = 0x02,
- TIMER3_IRQ = 0x04,
- ANY_IRQ = 0x80
+ TIMER1_IRQ = 0x01,
+ TIMER2_IRQ = 0x02,
+ TIMER3_IRQ = 0x04,
+ ANY_IRQ = 0x80
};
double m_internal_clock;
diff --git a/src/devices/machine/fga002.cpp b/src/devices/machine/fga002.cpp
index e48ec63816d..d89a35bbbc9 100644
--- a/src/devices/machine/fga002.cpp
+++ b/src/devices/machine/fga002.cpp
@@ -37,10 +37,10 @@
#define LOG(x)
#define LOGR(x)
#define LOGSETUP(x)
-#define LOGINT(x) LOGPRINT(x)
-#define LOGVEC(x)
-#define LOGLVL(x)
-#define LOGIACK(x) LOGPRINT(x)
+#define LOGINT(x) LOGPRINT(x)
+#define LOGVEC(x)
+#define LOGLVL(x)
+#define LOGIACK(x) LOGPRINT(x)
#if VERBOSE == 2
#define logerror printf
#endif
@@ -135,14 +135,14 @@ void fga002_device::device_reset()
m_fga002[FGA_ISSYSFAIL] = 0x80;
m_fga002[FGA_ISFMB0MES] = 0x80;
m_fga002[FGA_ISFMB1MES] = 0x80;
- m_fga002[FGA_ISLOCAL0] = 0x80;
- m_fga002[FGA_ISLOCAL1] = 0x80;
- m_fga002[FGA_ISLOCAL2] = 0x80;
- m_fga002[FGA_ISLOCAL3] = 0x80;
- m_fga002[FGA_ISLOCAL4] = 0x80;
- m_fga002[FGA_ISLOCAL5] = 0x80;
- m_fga002[FGA_ISLOCAL6] = 0x80;
- m_fga002[FGA_ISLOCAL7] = 0x80;
+ m_fga002[FGA_ISLOCAL0] = 0x80;
+ m_fga002[FGA_ISLOCAL1] = 0x80;
+ m_fga002[FGA_ISLOCAL2] = 0x80;
+ m_fga002[FGA_ISLOCAL3] = 0x80;
+ m_fga002[FGA_ISLOCAL4] = 0x80;
+ m_fga002[FGA_ISLOCAL5] = 0x80;
+ m_fga002[FGA_ISLOCAL6] = 0x80;
+ m_fga002[FGA_ISLOCAL7] = 0x80;
}
//-------------------------------------------------
@@ -176,43 +176,43 @@ void fga002_device::device_timer (emu_timer &timer, device_timer_id id, INT32 pa
/* The FGA002 Interrupts
- The FGA-002 Gate Array provides high end support for interrupt functionality. It manages interrupt sources
- within the gate array as well as external sources connected to the gate array. The FGA-002 Gate Array is an
- efficient interface for various interrupt sources to the local CPU, and supports up to 18 external interrupters.
-
- Interrupt inputs provided for external interrupt sources (exclusive of the VMEbus interrupt inputs) offer
- maximum flexibility as they may be configured to be level/edge sensitive or low/high active. The control of
- these features is performed by two bits contained in the extended interrupt control registers. The Interrupt
- Auto Clear bit in the extended interrupt control register determines, whether the interrupt of an edge sensitive
- input is cleared automatically during the interrupt acknowledge cycle or has to be cleared by the interrupt
- service routine. Each interrupt source is bound to an individual interrupt channel which has its own assigned
- vector number. The interrupt channels are configured by the Interrupt Control Registers where a 3 bit code for
- the level and a bit for enable/disable control are stored. Each interrupt channel may be programmed to interrupt
- the processor at any level.
-
- The vector table of the gate array is a group of 64 vectors. The two most significant bits of the 8 bit vector
- are programmable via register bits. The rest of the bits are assigned by gate array hardware. Not all of the
- 64 vectors are used in the present gate array, and those not used are reserved for future extensions.
-
- The following groups of interrupt sources are supported:
- 1. Internal Interrupt Sources
- - DMA CONTROLLER
- - TIMER
- - FORCE MESSAGE BROADCAST -FMB-
- - PARITY ERROR
- - 8 MAILBOXES
- 2. External Interrupt Sources
- Onboard interrupts:
- - LOCAL 0-7 inputs
- - ABORT Key input
- - ACFAIL input
- - SYSFAIL input
- VMEbus interrupts:
- - 7 VMEbus interrupt inputs
+ The FGA-002 Gate Array provides high end support for interrupt functionality. It manages interrupt sources
+ within the gate array as well as external sources connected to the gate array. The FGA-002 Gate Array is an
+ efficient interface for various interrupt sources to the local CPU, and supports up to 18 external interrupters.
+
+ Interrupt inputs provided for external interrupt sources (exclusive of the VMEbus interrupt inputs) offer
+ maximum flexibility as they may be configured to be level/edge sensitive or low/high active. The control of
+ these features is performed by two bits contained in the extended interrupt control registers. The Interrupt
+ Auto Clear bit in the extended interrupt control register determines, whether the interrupt of an edge sensitive
+ input is cleared automatically during the interrupt acknowledge cycle or has to be cleared by the interrupt
+ service routine. Each interrupt source is bound to an individual interrupt channel which has its own assigned
+ vector number. The interrupt channels are configured by the Interrupt Control Registers where a 3 bit code for
+ the level and a bit for enable/disable control are stored. Each interrupt channel may be programmed to interrupt
+ the processor at any level.
+
+ The vector table of the gate array is a group of 64 vectors. The two most significant bits of the 8 bit vector
+ are programmable via register bits. The rest of the bits are assigned by gate array hardware. Not all of the
+ 64 vectors are used in the present gate array, and those not used are reserved for future extensions.
+
+ The following groups of interrupt sources are supported:
+ 1. Internal Interrupt Sources
+ - DMA CONTROLLER
+ - TIMER
+ - FORCE MESSAGE BROADCAST -FMB-
+ - PARITY ERROR
+ - 8 MAILBOXES
+ 2. External Interrupt Sources
+ Onboard interrupts:
+ - LOCAL 0-7 inputs
+ - ABORT Key input
+ - ACFAIL input
+ - SYSFAIL input
+ VMEbus interrupts:
+ - 7 VMEbus interrupt inputs
*/
// TODO: Add more intrrupts sources in priority order, 18 in total.
-const fga_irq_t fga002_device::m_irq_sources[] = {
+const fga_irq_t fga002_device::m_irq_sources[] = {
{INT_LOCAL0, FGA_ISLOCAL0, FGA_ICRLOCAL0 },
{INT_LOCAL1, FGA_ISLOCAL1, FGA_ICRLOCAL1 },
{INT_LOCAL2, FGA_ISLOCAL2, FGA_ICRLOCAL2 },
@@ -220,7 +220,7 @@ const fga_irq_t fga002_device::m_irq_sources[] = {
{INT_LOCAL4, FGA_ISLOCAL4, FGA_ICRLOCAL4 },
{INT_LOCAL5, FGA_ISLOCAL5, FGA_ICRLOCAL5 },
{INT_LOCAL6, FGA_ISLOCAL6, FGA_ICRLOCAL6 },
- {INT_LOCAL7, FGA_ISLOCAL7, FGA_ICRLOCAL7 }
+ {INT_LOCAL7, FGA_ISLOCAL7, FGA_ICRLOCAL7 }
};
@@ -270,9 +270,9 @@ IRQ_CALLBACK_MEMBER(fga002_device::iack)
LOGLVL(("Vec Status[val] Control[val]\n"));
for (auto & elem : m_irq_sources)
{
- LOGLVL((" %02x %02x[%02x] %02x[%02x]\n",
+ LOGLVL((" %02x %02x[%02x] %02x[%02x]\n",
elem.vector,
- elem.status, m_fga002[elem.status],
+ elem.status, m_fga002[elem.status],
elem.control, m_fga002[elem.control]));
// Right level?
LOGLVL(("Level %02x == ICR %02x is %s\n", level, m_fga002[elem.control] & REG_ICR_LVL_MSK, ((m_fga002[elem.control] & REG_ICR_LVL_MSK) == level) ? "true!" : "false.."));
@@ -288,8 +288,8 @@ IRQ_CALLBACK_MEMBER(fga002_device::iack)
LOGVEC((" - org vec:%02x ", vec));
switch (vec)
{
- // Assuming that the attached device is returning -1 (INT_ACK_AUTOVECTOR) if no vector is provided, then we keep internal vector
- // TODO: Add device specific parameter that maps devices no-vector response to INT_ACK_AUTOVECTOR (and preferably INT_ACK_NOVECTOR)
+ // Assuming that the attached device is returning -1 (INT_ACK_AUTOVECTOR) if no vector is provided, then we keep internal vector
+ // TODO: Add device specific parameter that maps devices no-vector response to INT_ACK_AUTOVECTOR (and preferably INT_ACK_NOVECTOR)
case INT_LOCAL4: if (( (m_fga002[FGA_LOCALIACK] & REG_LIACK_LOCAL4_MSK) >> 0) != REG_LIACK_INT_IACK ) vec = m_liack4_cb(); break;
case INT_LOCAL5: if (( (m_fga002[FGA_LOCALIACK] & REG_LIACK_LOCAL5_MSK) >> 2) != REG_LIACK_INT_IACK ) vec = m_liack5_cb(); break;
case INT_LOCAL6: if (( (m_fga002[FGA_LOCALIACK] & REG_LIACK_LOCAL6_MSK) >> 4) != REG_LIACK_INT_IACK ) vec = m_liack6_cb(); break;
@@ -301,13 +301,13 @@ IRQ_CALLBACK_MEMBER(fga002_device::iack)
if (vec == INT_ACK_AUTOVECTOR) vec = INT_EMPTY;
LOGVEC(("avec:%02x ", vec));
- // Add vector page bits and return vector
- vec = (vec & 0x3f) | ((m_fga002[FGA_CTL3] & REG_CTL3_VECTORBITS7_6) << 4);
+ // Add vector page bits and return vector
+ vec = (vec & 0x3f) | ((m_fga002[FGA_CTL3] & REG_CTL3_VECTORBITS7_6) << 4);
LOGVEC(("pvec:%02x\n", vec));
LOGVEC((" - Interrupt Acknowledge Vector %02x\n", vec));
- /* TODO:
- - Support auto clear of interrupt source and level triggered
+ /* TODO:
+ - Support auto clear of interrupt source and level triggered
*/
vec_found = 1;
}
@@ -323,13 +323,13 @@ IRQ_CALLBACK_MEMBER(fga002_device::iack)
}
LOGIACK((" - Interrupt Acknowledge Vector %02x, next interrupt is off %02x\n", vec, m_irq_level));
m_out_int_cb(CLEAR_LINE);
- return vec;
+ return vec;
}
int fga002_device::get_irq_level()
{
LOGINT(("%s %s() - %02x\n", tag(), FUNCNAME, m_irq_level));
- return m_irq_level;
+ return m_irq_level;
}
/* The FGA002 Timer
@@ -541,14 +541,14 @@ void fga002_device::do_fga002reg_ctl3_w(UINT8 data)
}
// Local Interrupt control register methods
-UINT8 fga002_device::do_fga002reg_icrlocal0_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL0]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal1_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL1]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal2_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL2]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal3_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL3]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal4_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL4]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal5_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL5]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal6_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL6]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_icrlocal7_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL7]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal0_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL0]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal1_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL1]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal2_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL2]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal3_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL3]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal4_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL4]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal5_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL5]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal6_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL6]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_icrlocal7_r(){ UINT8 ret = m_fga002[FGA_ICRLOCAL7]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
void fga002_device::do_fga002reg_icrlocal0_w(UINT8 data){ m_fga002[FGA_ICRLOCAL0] = data; LOGINT(("%s(%02x)\n", FUNCNAME, data)); }
void fga002_device::do_fga002reg_icrlocal1_w(UINT8 data){ m_fga002[FGA_ICRLOCAL1] = data; LOGINT(("%s(%02x)\n", FUNCNAME, data)); }
@@ -560,14 +560,14 @@ void fga002_device::do_fga002reg_icrlocal6_w(UINT8 data){ m_fga002[FGA_ICRLOCAL
void fga002_device::do_fga002reg_icrlocal7_w(UINT8 data){ m_fga002[FGA_ICRLOCAL7] = data; LOGINT(("%s(%02x)\n", FUNCNAME, data)); }
// Local Interrupt Status Register methods
-UINT8 fga002_device::do_fga002reg_islocal0_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL0]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal1_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL1]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal2_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL2]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal3_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL3]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal4_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL4]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal5_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL5]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal6_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL6]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
-UINT8 fga002_device::do_fga002reg_islocal7_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL7]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal0_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL0]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal1_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL1]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal2_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL2]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal3_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL3]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal4_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL4]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal5_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL5]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal6_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL6]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
+UINT8 fga002_device::do_fga002reg_islocal7_r(){ UINT8 ret = m_fga002[FGA_ISLOCAL7]; LOGINT(("%s() <- %02x\n", FUNCNAME, ret)); return ret; }
void fga002_device::islocal_w(int status, int vector, int control, int data)
{
@@ -660,14 +660,14 @@ WRITE8_MEMBER (fga002_device::write){
case FGA_ICRABORT : LOG(("FGA_ICRABORT - not implemented\n")); m_fga002[FGA_ICRABORT] = data; break;
case FGA_ICRACFAIL : LOG(("FGA_ICRACFAIL - not implemented\n")); m_fga002[FGA_ICRACFAIL] = data; break;
case FGA_ICRSYSFAIL : LOG(("FGA_ICRSYSFAIL - not implemented\n")); m_fga002[FGA_ICRSYSFAIL] = data; break;
- case FGA_ICRLOCAL0 : do_fga002reg_icrlocal0_w(data); break;
- case FGA_ICRLOCAL1 : do_fga002reg_icrlocal1_w(data); break;
- case FGA_ICRLOCAL2 : do_fga002reg_icrlocal2_w(data); break;
- case FGA_ICRLOCAL3 : do_fga002reg_icrlocal3_w(data); break;
- case FGA_ICRLOCAL4 : do_fga002reg_icrlocal4_w(data); break;
- case FGA_ICRLOCAL5 : do_fga002reg_icrlocal5_w(data); break;
- case FGA_ICRLOCAL6 : do_fga002reg_icrlocal6_w(data); break;
- case FGA_ICRLOCAL7 : do_fga002reg_icrlocal7_w(data); break;
+ case FGA_ICRLOCAL0 : do_fga002reg_icrlocal0_w(data); break;
+ case FGA_ICRLOCAL1 : do_fga002reg_icrlocal1_w(data); break;
+ case FGA_ICRLOCAL2 : do_fga002reg_icrlocal2_w(data); break;
+ case FGA_ICRLOCAL3 : do_fga002reg_icrlocal3_w(data); break;
+ case FGA_ICRLOCAL4 : do_fga002reg_icrlocal4_w(data); break;
+ case FGA_ICRLOCAL5 : do_fga002reg_icrlocal5_w(data); break;
+ case FGA_ICRLOCAL6 : do_fga002reg_icrlocal6_w(data); break;
+ case FGA_ICRLOCAL7 : do_fga002reg_icrlocal7_w(data); break;
case FGA_ENAMCODE : LOG(("FGA_ENAMCODE - not implemented\n")); m_fga002[FGA_ENAMCODE] = data; break;
case FGA_CTL10 : LOG(("FGA_CTL10 - not implemented\n")); m_fga002[FGA_CTL10] = data; break;
case FGA_CTL11 : LOG(("FGA_CTL11 - not implemented\n")); m_fga002[FGA_CTL11] = data; break;
@@ -696,15 +696,15 @@ WRITE8_MEMBER (fga002_device::write){
case FGA_CTL14 : LOG(("FGA_CTL14 - not implemented\n")); m_fga002[FGA_CTL14] = data; break;
case FGA_CTL15 : LOG(("FGA_CTL15 - not implemented\n")); m_fga002[FGA_CTL15] = data; break;
case FGA_CTL16 : LOG(("FGA_CTL16 - not implemented\n")); m_fga002[FGA_CTL16] = data; break;
- case FGA_ISTIM0 : do_fga002reg_istim0_w(data); break;
- case FGA_ISLOCAL0 : do_fga002reg_islocal0_w(data); break;
- case FGA_ISLOCAL1 : do_fga002reg_islocal1_w(data); break;
- case FGA_ISLOCAL2 : do_fga002reg_islocal2_w(data); break;
- case FGA_ISLOCAL3 : do_fga002reg_islocal3_w(data); break;
- case FGA_ISLOCAL4 : do_fga002reg_islocal4_w(data); break;
- case FGA_ISLOCAL5 : do_fga002reg_islocal5_w(data); break;
- case FGA_ISLOCAL6 : do_fga002reg_islocal6_w(data); break;
- case FGA_ISLOCAL7 : do_fga002reg_islocal7_w(data); break;
+ case FGA_ISTIM0 : do_fga002reg_istim0_w(data); break;
+ case FGA_ISLOCAL0 : do_fga002reg_islocal0_w(data); break;
+ case FGA_ISLOCAL1 : do_fga002reg_islocal1_w(data); break;
+ case FGA_ISLOCAL2 : do_fga002reg_islocal2_w(data); break;
+ case FGA_ISLOCAL3 : do_fga002reg_islocal3_w(data); break;
+ case FGA_ISLOCAL4 : do_fga002reg_islocal4_w(data); break;
+ case FGA_ISLOCAL5 : do_fga002reg_islocal5_w(data); break;
+ case FGA_ISLOCAL6 : do_fga002reg_islocal6_w(data); break;
+ case FGA_ISLOCAL7 : do_fga002reg_islocal7_w(data); break;
case FGA_ISDMANORM : LOG(("FGA_ISDMANORM - not implemented\n")); m_fga002[FGA_ISDMANORM] = data; break;
case FGA_ISDMAERR : LOG(("FGA_ISDMAERR - not implemented\n")); m_fga002[FGA_ISDMAERR] = data; break;
case FGA_ISFMB0REF : LOG(("FGA_ISFMB0REF - not implemented\n")); m_fga002[FGA_ISFMB0REF] = data; break;
@@ -771,14 +771,14 @@ READ8_MEMBER (fga002_device::read){
case FGA_ICRABORT : ret = m_fga002[FGA_ICRABORT]; LOG(("FGA_ICRABORT returns %02x - not implemented\n", ret)); break;
case FGA_ICRACFAIL : ret = m_fga002[FGA_ICRACFAIL]; LOG(("FGA_ICRACFAIL returns %02x - not implemented\n", ret)); break;
case FGA_ICRSYSFAIL : ret = m_fga002[FGA_ICRSYSFAIL]; LOG(("FGA_ICRSYSFAIL returns %02x - not implemented\n", ret)); break;
- case FGA_ICRLOCAL0 : ret = do_fga002reg_icrlocal0_r(); break;
- case FGA_ICRLOCAL1 : ret = do_fga002reg_icrlocal1_r(); break;
- case FGA_ICRLOCAL2 : ret = do_fga002reg_icrlocal2_r(); break;
- case FGA_ICRLOCAL3 : ret = do_fga002reg_icrlocal3_r(); break;
- case FGA_ICRLOCAL4 : ret = do_fga002reg_icrlocal4_r(); break;
- case FGA_ICRLOCAL5 : ret = do_fga002reg_icrlocal5_r(); break;
- case FGA_ICRLOCAL6 : ret = do_fga002reg_icrlocal6_r(); break;
- case FGA_ICRLOCAL7 : ret = do_fga002reg_icrlocal7_r(); break;
+ case FGA_ICRLOCAL0 : ret = do_fga002reg_icrlocal0_r(); break;
+ case FGA_ICRLOCAL1 : ret = do_fga002reg_icrlocal1_r(); break;
+ case FGA_ICRLOCAL2 : ret = do_fga002reg_icrlocal2_r(); break;
+ case FGA_ICRLOCAL3 : ret = do_fga002reg_icrlocal3_r(); break;
+ case FGA_ICRLOCAL4 : ret = do_fga002reg_icrlocal4_r(); break;
+ case FGA_ICRLOCAL5 : ret = do_fga002reg_icrlocal5_r(); break;
+ case FGA_ICRLOCAL6 : ret = do_fga002reg_icrlocal6_r(); break;
+ case FGA_ICRLOCAL7 : ret = do_fga002reg_icrlocal7_r(); break;
case FGA_ENAMCODE : ret = m_fga002[FGA_ENAMCODE]; LOG(("FGA_ENAMCODE returns %02x - not implemented\n", ret)); break;
case FGA_CTL10 : ret = m_fga002[FGA_CTL10]; LOG(("FGA_CTL10 returns %02x - not implemented\n", ret)); break;
case FGA_CTL11 : ret = m_fga002[FGA_CTL11]; LOG(("FGA_CTL11 returns %02x - not implemented\n", ret)); break;
@@ -807,15 +807,15 @@ READ8_MEMBER (fga002_device::read){
case FGA_CTL14 : ret = m_fga002[FGA_CTL14]; LOG(("FGA_CTL14 returns %02x - not implemented\n", ret)); break;
case FGA_CTL15 : ret = m_fga002[FGA_CTL15]; LOG(("FGA_CTL15 returns %02x - not implemented\n", ret)); break;
case FGA_CTL16 : ret = m_fga002[FGA_CTL16]; LOG(("FGA_CTL16 returns %02x - not implemented\n", ret)); break;
- case FGA_ISTIM0 : ret = do_fga002reg_istim0_r(); break;
- case FGA_ISLOCAL0 : ret = do_fga002reg_islocal0_r(); break;
- case FGA_ISLOCAL1 : ret = do_fga002reg_islocal1_r(); break;
- case FGA_ISLOCAL2 : ret = do_fga002reg_islocal2_r(); break;
- case FGA_ISLOCAL3 : ret = do_fga002reg_islocal3_r(); break;
- case FGA_ISLOCAL4 : ret = do_fga002reg_islocal4_r(); break;
- case FGA_ISLOCAL5 : ret = do_fga002reg_islocal5_r(); break;
- case FGA_ISLOCAL6 : ret = do_fga002reg_islocal6_r(); break;
- case FGA_ISLOCAL7 : ret = do_fga002reg_islocal7_r(); break;
+ case FGA_ISTIM0 : ret = do_fga002reg_istim0_r(); break;
+ case FGA_ISLOCAL0 : ret = do_fga002reg_islocal0_r(); break;
+ case FGA_ISLOCAL1 : ret = do_fga002reg_islocal1_r(); break;
+ case FGA_ISLOCAL2 : ret = do_fga002reg_islocal2_r(); break;
+ case FGA_ISLOCAL3 : ret = do_fga002reg_islocal3_r(); break;
+ case FGA_ISLOCAL4 : ret = do_fga002reg_islocal4_r(); break;
+ case FGA_ISLOCAL5 : ret = do_fga002reg_islocal5_r(); break;
+ case FGA_ISLOCAL6 : ret = do_fga002reg_islocal6_r(); break;
+ case FGA_ISLOCAL7 : ret = do_fga002reg_islocal7_r(); break;
case FGA_ISDMANORM : ret = m_fga002[FGA_ISDMANORM]; LOG(("FGA_ISDMANORM returns %02x - not implemented\n", ret)); break;
case FGA_ISDMAERR : ret = m_fga002[FGA_ISDMAERR]; LOG(("FGA_ISDMAERR returns %02x - not implemented\n", ret)); break;
case FGA_ISFMB0REF : ret = m_fga002[FGA_ISFMB0REF]; LOG(("FGA_ISFMB0REF returns %02x - not implemented\n", ret)); break;
diff --git a/src/devices/machine/fga002.h b/src/devices/machine/fga002.h
index 0d3304dfb17..88d5a8d11b5 100644
--- a/src/devices/machine/fga002.h
+++ b/src/devices/machine/fga002.h
@@ -10,22 +10,22 @@
#define LOG(x)
#endif
-#define MCFG_FGA002_ADD(_tag, _clock) MCFG_DEVICE_ADD(_tag, FGA002, _clock)
+#define MCFG_FGA002_ADD(_tag, _clock) MCFG_DEVICE_ADD(_tag, FGA002, _clock)
// LOCAL IRQ callbacks
-#define MCFG_FGA002_OUT_INT_CB(_devcb) \
+#define MCFG_FGA002_OUT_INT_CB(_devcb) \
devcb = &fga002_device::set_out_int_callback(*device, DEVCB_##_devcb);
-#define MCFG_FGA002_OUT_LIACK4_CB(_devcb) \
+#define MCFG_FGA002_OUT_LIACK4_CB(_devcb) \
devcb = &fga002_device::set_liack4_callback(*device, DEVCB_##_devcb);
-#define MCFG_FGA002_OUT_LIACK5_CB(_devcb) \
+#define MCFG_FGA002_OUT_LIACK5_CB(_devcb) \
devcb = &fga002_device::set_liack5_callback(*device, DEVCB_##_devcb);
-#define MCFG_FGA002_OUT_LIACK6_CB(_devcb) \
+#define MCFG_FGA002_OUT_LIACK6_CB(_devcb) \
devcb = &fga002_device::set_liack6_callback(*device, DEVCB_##_devcb);
-#define MCFG_FGA002_OUT_LIACK7_CB(_devcb) \
+#define MCFG_FGA002_OUT_LIACK7_CB(_devcb) \
devcb = &fga002_device::set_liack7_callback(*device, DEVCB_##_devcb);
// type for array of mapping of FGA registers that assembles an IRQ source
@@ -42,7 +42,7 @@ typedef struct {
// ======================> fga002_device
class fga002_device : public device_t
-// ,public device_z80daisy_interface
+// ,public device_z80daisy_interface
{
public:
// construction/destruction
@@ -72,7 +72,7 @@ class fga002_device : public device_t
template<class _Object> static devcb_base &set_liack6_callback(device_t &device, _Object object) { return downcast<fga002_device &>(device).m_liack6_cb.set_callback(object); }
template<class _Object> static devcb_base &set_liack7_callback(device_t &device, _Object object) { return downcast<fga002_device &>(device).m_liack7_cb.set_callback(object); }
- // interrupt sources in prio order if on same interrupt level. TODO: Add all sources
+ // interrupt sources in prio order if on same interrupt level. TODO: Add all sources
const static fga_irq_t m_irq_sources[];
protected:
@@ -88,10 +88,10 @@ class fga002_device : public device_t
virtual void device_timer (emu_timer &timer, device_timer_id id, int param, void *ptr) override;
devcb_write_line m_out_int_cb;
- devcb_read8 m_liack4_cb;
- devcb_read8 m_liack5_cb;
- devcb_read8 m_liack6_cb;
- devcb_read8 m_liack7_cb;
+ devcb_read8 m_liack4_cb;
+ devcb_read8 m_liack5_cb;
+ devcb_read8 m_liack6_cb;
+ devcb_read8 m_liack7_cb;
int m_int_state[0x08]; // interrupt state
private:
@@ -198,14 +198,14 @@ class fga002_device : public device_t
};
enum{
- REG_LIACK_LOCAL4_MSK = 0x03,
- REG_LIACK_LOCAL5_MSK = 0x0c, // >> 2 to use patterns below
- REG_LIACK_LOCAL6_MSK = 0x30, // >> 4 to use patterns below
- REG_LIACK_LOCAL7_MSK = 0xc0, // >> 6 to use patterns below
- REG_LIACK_INT_IACK = 0x00, // Assumes bits to be right adjusted
- REG_LIACK_EXT_IACK1 = 0x01,
- REG_LIACK_EXT_IACK2 = 0x02,
- REG_LIACK_EXT_IACK3 = 0x03,
+ REG_LIACK_LOCAL4_MSK = 0x03,
+ REG_LIACK_LOCAL5_MSK = 0x0c, // >> 2 to use patterns below
+ REG_LIACK_LOCAL6_MSK = 0x30, // >> 4 to use patterns below
+ REG_LIACK_LOCAL7_MSK = 0xc0, // >> 6 to use patterns below
+ REG_LIACK_INT_IACK = 0x00, // Assumes bits to be right adjusted
+ REG_LIACK_EXT_IACK1 = 0x01,
+ REG_LIACK_EXT_IACK2 = 0x02,
+ REG_LIACK_EXT_IACK3 = 0x03,
};
enum{
@@ -222,11 +222,11 @@ class fga002_device : public device_t
};
enum{
- REG_ICR_LVL_MSK = 0x07,
- REG_ICR_ENABLE = 0x08,
- REG_ICR_AUTOCLR = 0x10,
- REG_ICR_ACTIVITY = 0x20,
- REG_ICR_EDGE = 0x40,
+ REG_ICR_LVL_MSK = 0x07,
+ REG_ICR_ENABLE = 0x08,
+ REG_ICR_AUTOCLR = 0x10,
+ REG_ICR_ACTIVITY = 0x20,
+ REG_ICR_EDGE = 0x40,
};
/* Register offsets */
@@ -300,39 +300,39 @@ class fga002_device : public device_t
FGA_FMBAREA = 0x033c,
FGA_AUXSRCSTART = 0x0340,
FGA_AUXDSTSTART = 0x0344,
- FGA_AUXSRCTERM = 0x0348,
- FGA_AUXDSTTERM = 0x034c,
- FGA_CTL13 = 0x0350,
- FGA_CTL14 = 0x0354,
- FGA_CTL15 = 0x0358,
- FGA_CTL16 = 0x035c,
- FGA_SPECIALENA = 0x0424,
- FGA_ISLOCAL0 = 0x0480,
- FGA_ISLOCAL1 = 0x0484,
- FGA_ISLOCAL2 = 0x0488,
- FGA_ISLOCAL3 = 0x048C,
- FGA_ISLOCAL4 = 0x0490,
- FGA_ISLOCAL5 = 0x0494,
- FGA_ISLOCAL6 = 0x0498,
- FGA_ISLOCAL7 = 0x049C,
- FGA_ISTIM0 = 0x04a0,
- FGA_ISDMANORM = 0x04b0,
- FGA_ISDMAERR = 0x04b4,
- FGA_ISFMB0REF = 0x04b8,
- FGA_ISFMB1REF = 0x04bc,
- FGA_ISPARITY = 0x04c0,
- FGA_DMARUNCTL = 0x04c4,
- FGA_ISABORT = 0x04c8,
- FGA_ISACFAIL = 0x04cc,
- FGA_ISFMB0MES = 0x04e0,
- FGA_ISFMB1MES = 0x04e4,
- FGA_ISSYSFAIL = 0x04d0,
- FGA_ABORTPIN = 0x04d4,
- FGA_RSVMECALL = 0x04f0,
- FGA_RSKEYRES = 0x04f4,
- FGA_RSCPUCALL = 0x04f8,
- FGA_RSLOCSW = 0x04fc,
- FGA_TIM0COUNT = 0x0c00,
+ FGA_AUXSRCTERM = 0x0348,
+ FGA_AUXDSTTERM = 0x034c,
+ FGA_CTL13 = 0x0350,
+ FGA_CTL14 = 0x0354,
+ FGA_CTL15 = 0x0358,
+ FGA_CTL16 = 0x035c,
+ FGA_SPECIALENA = 0x0424,
+ FGA_ISLOCAL0 = 0x0480,
+ FGA_ISLOCAL1 = 0x0484,
+ FGA_ISLOCAL2 = 0x0488,
+ FGA_ISLOCAL3 = 0x048C,
+ FGA_ISLOCAL4 = 0x0490,
+ FGA_ISLOCAL5 = 0x0494,
+ FGA_ISLOCAL6 = 0x0498,
+ FGA_ISLOCAL7 = 0x049C,
+ FGA_ISTIM0 = 0x04a0,
+ FGA_ISDMANORM = 0x04b0,
+ FGA_ISDMAERR = 0x04b4,
+ FGA_ISFMB0REF = 0x04b8,
+ FGA_ISFMB1REF = 0x04bc,
+ FGA_ISPARITY = 0x04c0,
+ FGA_DMARUNCTL = 0x04c4,
+ FGA_ISABORT = 0x04c8,
+ FGA_ISACFAIL = 0x04cc,
+ FGA_ISFMB0MES = 0x04e0,
+ FGA_ISFMB1MES = 0x04e4,
+ FGA_ISSYSFAIL = 0x04d0,
+ FGA_ABORTPIN = 0x04d4,
+ FGA_RSVMECALL = 0x04f0,
+ FGA_RSKEYRES = 0x04f4,
+ FGA_RSCPUCALL = 0x04f8,
+ FGA_RSLOCSW = 0x04fc,
+ FGA_TIM0COUNT = 0x0c00,
};
};
diff --git a/src/devices/machine/i82371sb.cpp b/src/devices/machine/i82371sb.cpp
index 8ec671ee082..e1081dac406 100644
--- a/src/devices/machine/i82371sb.cpp
+++ b/src/devices/machine/i82371sb.cpp
@@ -25,8 +25,8 @@ DEVICE_ADDRESS_MAP_START(config_map, 32, i82371sb_isa_device)
ADDRESS_MAP_END
DEVICE_ADDRESS_MAP_START(internal_io_map, 32, i82371sb_isa_device)
-// AM_RANGE(0x0060, 0x0063) AM_READ8 (reset_irq12_1_r, 0x000000ff)
-// AM_RANGE(0x0060, 0x0063) AM_READWRITE8 (nmi_st_r, nmi_st_w, 0x0000ff00)
+// AM_RANGE(0x0060, 0x0063) AM_READ8 (reset_irq12_1_r, 0x000000ff)
+// AM_RANGE(0x0060, 0x0063) AM_READWRITE8 (nmi_st_r, nmi_st_w, 0x0000ff00)
AM_RANGE(0x0080, 0x0083) AM_WRITE8 (boot_state_w, 0x000000ff) // POST/non-existing, used for delays by the bios/os
AM_RANGE(0x00ec, 0x00ef) AM_WRITE8 (nop_w, 0x0000ff00) // Non-existing, used for delays by the bios/os
ADDRESS_MAP_END
diff --git a/src/devices/machine/scnxx562.cpp b/src/devices/machine/scnxx562.cpp
index 6af4332f581..e47d895bcd4 100644
--- a/src/devices/machine/scnxx562.cpp
+++ b/src/devices/machine/scnxx562.cpp
@@ -458,7 +458,7 @@ UINT8 duscc_device::modify_vector(UINT8 vec, int index, UINT8 src)
{
// Modify vector according to "Vector Include Status" bit (REG_ICR_V2V4_MOD)
if ((m_icr & REG_ICR_V2V4_MOD) != 0)
- { // Affect V2-V4
+ { // Affect V2-V4
LOGINT((" - Affect V2-V4 with status"));
vec &= 0x07 << 3;
vec |= src << 3;
@@ -501,7 +501,7 @@ void duscc_device::trigger_interrupt(int index, int state)
LOGINT(("%s %s:%c %02x \n",FUNCNAME, tag(), 'A' + index, state));
/* The Interrup Controll Register (ICR) bits, must be set for the correspondning channel */
- // ICR Check is probably by the caller but we check again to be sure
+ // ICR Check is probably by the caller but we check again to be sure
if ((m_icr & (index == CHANNEL_A ? REG_ICR_CHA : REG_ICR_CHB)) == 0)
{
LOGINT(("The Interrupt Control Register [%02x] bit for this channel is not set, blocking attempt to interrupt\n", m_icr));
@@ -2165,14 +2165,14 @@ void duscc_channel::do_dusccreg_gsr_w(UINT8 data)
void duscc_channel::do_dusccreg_ier_w(UINT8 data)
{
LOGINT(("%c %s(%02x)\n", 'A' + m_index, FUNCNAME, data));
- if (REG_IER_DCD_CTS & (data ^ m_ier)) LOGINT(("- DCD/CTS interrups %s\n", (data & REG_IER_DCD_CTS) ? "enabled" : "disabled" ));
- if (REG_IER_TXRDY & (data ^ m_ier)) LOGINT(("- TXRDY interrupts %s\n", (data & REG_IER_TXRDY) ? "enabled" : "disabled" ));
- if (REG_IER_TRSR73 & (data ^ m_ier)) LOGINT(("- TRSR73 interrupts %s\n", (data & REG_IER_TRSR73) ? "enabled" : "disabled" ));
- if (REG_IER_RXRDY & (data ^ m_ier)) LOGINT(("- RXRDY interrupts %s\n", (data & REG_IER_RXRDY) ? "enabled" : "disabled" ));
- if (REG_IER_RSR76 & (data ^ m_ier)) LOGINT(("- RSR76 interrupts %s\n", (data & REG_IER_RSR76) ? "enabled" : "disabled" ));
- if (REG_IER_RSR54 & (data ^ m_ier)) LOGINT(("- RSR54 interrupts %s\n", (data & REG_IER_RSR54) ? "enabled" : "disabled" ));
- if (REG_IER_RSR32 & (data ^ m_ier)) LOGINT(("- RSR32 interrupts %s\n", (data & REG_IER_RSR32) ? "enabled" : "disabled" ));
- if (REG_IER_RSR10 & (data ^ m_ier)) LOGINT(("- RSR10 interrupts %s\n", (data & REG_IER_RSR10) ? "enabled" : "disabled" ));
+ if (REG_IER_DCD_CTS & (data ^ m_ier)) LOGINT(("- DCD/CTS interrups %s\n", (data & REG_IER_DCD_CTS) ? "enabled" : "disabled" ));
+ if (REG_IER_TXRDY & (data ^ m_ier)) LOGINT(("- TXRDY interrupts %s\n", (data & REG_IER_TXRDY) ? "enabled" : "disabled" ));
+ if (REG_IER_TRSR73 & (data ^ m_ier)) LOGINT(("- TRSR73 interrupts %s\n", (data & REG_IER_TRSR73) ? "enabled" : "disabled" ));
+ if (REG_IER_RXRDY & (data ^ m_ier)) LOGINT(("- RXRDY interrupts %s\n", (data & REG_IER_RXRDY) ? "enabled" : "disabled" ));
+ if (REG_IER_RSR76 & (data ^ m_ier)) LOGINT(("- RSR76 interrupts %s\n", (data & REG_IER_RSR76) ? "enabled" : "disabled" ));
+ if (REG_IER_RSR54 & (data ^ m_ier)) LOGINT(("- RSR54 interrupts %s\n", (data & REG_IER_RSR54) ? "enabled" : "disabled" ));
+ if (REG_IER_RSR32 & (data ^ m_ier)) LOGINT(("- RSR32 interrupts %s\n", (data & REG_IER_RSR32) ? "enabled" : "disabled" ));
+ if (REG_IER_RSR10 & (data ^ m_ier)) LOGINT(("- RSR10 interrupts %s\n", (data & REG_IER_RSR10) ? "enabled" : "disabled" ));
m_ier = data;
m_uart->check_interrupts();
return;
@@ -2189,8 +2189,8 @@ void duscc_channel::do_dusccreg_icr_w(UINT8 data)
{
m_uart->m_icr = data;
LOG(("%s(%02x)\n", FUNCNAME, data));
- if (duscc_device::REG_ICR_CHB & (data ^ m_uart->m_icr)) LOG(("- Channel B interrupts %s\n", (data & duscc_device::REG_ICR_CHB) ? "enabled" : "disabled" ));
- if (duscc_device::REG_ICR_CHA & (data ^ m_uart->m_icr)) LOG(("- Channel A interrupts %s\n", (data & duscc_device::REG_ICR_CHA) ? "enabled" : "disabled" ));
+ if (duscc_device::REG_ICR_CHB & (data ^ m_uart->m_icr)) LOG(("- Channel B interrupts %s\n", (data & duscc_device::REG_ICR_CHB) ? "enabled" : "disabled" ));
+ if (duscc_device::REG_ICR_CHA & (data ^ m_uart->m_icr)) LOG(("- Channel A interrupts %s\n", (data & duscc_device::REG_ICR_CHA) ? "enabled" : "disabled" ));
if (duscc_device::REG_ICR_VEC_MOD & (data ^ m_uart->m_icr)) LOG(("- Vector is %s\n", (data & duscc_device::REG_ICR_VEC_MOD) ? "modified" : "unmodified" ));
if (duscc_device::REG_ICR_V2V4_MOD & (data ^ m_uart->m_icr)) LOG(("- Vector bits %s modified\n", (data & duscc_device::REG_ICR_V2V4_MOD) ? "4:2" : "2:0" ));
// TODO: LOG the other bits as well
@@ -2399,7 +2399,7 @@ void duscc_channel::receive_data(UINT8 data)
if (m_ier & REG_IER_RXRDY)
{
if (m_omr & REG_OMR_RXRDY_ACTIVATED) // interrupt on FIFO full and...
- {
+ {
if (m_rx_fifo_rp == m_rx_fifo_wp) // FIFO full?
m_uart->trigger_interrupt(m_index, INT_RXREADY);
}
diff --git a/src/devices/machine/scnxx562.h b/src/devices/machine/scnxx562.h
index ad036dfe5dc..4ae46c1a5f6 100644
--- a/src/devices/machine/scnxx562.h
+++ b/src/devices/machine/scnxx562.h
@@ -640,7 +640,7 @@ public:
DECLARE_WRITE8_MEMBER( write );
// interrupt acknowledge
- DECLARE_READ8_MEMBER( iack );
+ DECLARE_READ8_MEMBER( iack );
// device_z80daisy_interface overrides
virtual int z80daisy_irq_state() override;
@@ -734,17 +734,17 @@ protected:
enum
{
- REG_ICR_CHB = 0x01,
- REG_ICR_CHA = 0x02,
- REG_ICR_VEC_MOD = 0x04,
- REG_ICR_V2V4_MOD = 0x08,
- REG_ICR_VEC_MODE_MASK = 0x30,
- REG_ICR_VEC_MODE_NONE = 0x30,
- REG_ICR_PRIO_MASK = 0xC0,
- REG_ICR_PRIO_AHI = 0x00,
- REG_ICR_PRIO_BHI = 0x40,
- REG_ICR_PRIO_AINT = 0x80,
- REG_ICR_PRIO_BINT = 0xC0,
+ REG_ICR_CHB = 0x01,
+ REG_ICR_CHA = 0x02,
+ REG_ICR_VEC_MOD = 0x04,
+ REG_ICR_V2V4_MOD = 0x08,
+ REG_ICR_VEC_MODE_MASK = 0x30,
+ REG_ICR_VEC_MODE_NONE = 0x30,
+ REG_ICR_PRIO_MASK = 0xC0,
+ REG_ICR_PRIO_AHI = 0x00,
+ REG_ICR_PRIO_BHI = 0x40,
+ REG_ICR_PRIO_AINT = 0x80,
+ REG_ICR_PRIO_BINT = 0xC0,
};
};
diff --git a/src/devices/video/gba_lcd.cpp b/src/devices/video/gba_lcd.cpp
index 264391f521f..0d66df6de18 100644
--- a/src/devices/video/gba_lcd.cpp
+++ b/src/devices/video/gba_lcd.cpp
@@ -296,7 +296,7 @@ void gba_lcd_device::draw_scanline(int y)
{
for (auto x = 0; x < 240; x++)
{
- m_scanline[l][x] = TRANSPARENT_PIXEL;
+ m_scanline[l][x] = TRANSPARENT_PIXEL;
}
}
@@ -333,7 +333,7 @@ void gba_lcd_device::draw_scanline(int y)
if (submode == 2)
{
draw_oam_window(m_scanline[5], y);
- update_mask(mask, y);
+ update_mask(mask, y);
}
else
{
diff --git a/src/devices/video/mga2064w.cpp b/src/devices/video/mga2064w.cpp
index 72960d9a2a0..741b9246adb 100644
--- a/src/devices/video/mga2064w.cpp
+++ b/src/devices/video/mga2064w.cpp
@@ -12,10 +12,10 @@ mga2064w_device::mga2064w_device(const machine_config &mconfig, const char *tag,
void mga2064w_device::device_start()
{
pci_device::device_start();
- // add_map( 16*1024*1024, M_MEM, FUNC(mga2064w_device::map1));
- // add_map(256*1024*1024, M_MEM, FUNC(mga2064w_device::map2));
- // add_map( 16*1024*1024, M_MEM, FUNC(mga2064w_device::map3));
- // add_rom_from_region();
+ // add_map( 16*1024*1024, M_MEM, FUNC(mga2064w_device::map1));
+ // add_map(256*1024*1024, M_MEM, FUNC(mga2064w_device::map2));
+ // add_map( 16*1024*1024, M_MEM, FUNC(mga2064w_device::map3));
+ // add_rom_from_region();
}
void mga2064w_device::device_reset()
diff --git a/src/emu/diimage.cpp b/src/emu/diimage.cpp
index 223ee296327..72dd9b01d6a 100644
--- a/src/emu/diimage.cpp
+++ b/src/emu/diimage.cpp
@@ -542,8 +542,8 @@ UINT32 device_image_interface::crc()
//-------------------------------------------------
// support_command_line_image_creation - do we
-// want to support image creation from the front
-// end command line?
+// want to support image creation from the front
+// end command line?
//-------------------------------------------------
bool device_image_interface::support_command_line_image_creation() const
diff --git a/src/emu/dirom.cpp b/src/emu/dirom.cpp
index 0a359c7d07b..84b4e6a8c4b 100644
--- a/src/emu/dirom.cpp
+++ b/src/emu/dirom.cpp
@@ -90,4 +90,3 @@ void device_rom_interface::interface_pre_start()
}
}
}
-
diff --git a/src/emu/input.cpp b/src/emu/input.cpp
index 4c8aad97307..93f349cee3a 100644
--- a/src/emu/input.cpp
+++ b/src/emu/input.cpp
@@ -2106,7 +2106,7 @@ void input_manager::seq_from_tokens(input_seq &seq, const char *string)
}
//-------------------------------------------------
-// map_device_to_controller - map device to
+// map_device_to_controller - map device to
// controller based on device map table
//-------------------------------------------------
@@ -2117,13 +2117,13 @@ bool input_manager::map_device_to_controller(const devicemap_table_type *devicem
for (devicemap_table_type::const_iterator it = devicemap_table->begin(); it != devicemap_table->end(); it++)
{
- const char *deviceid = it->first.c_str();
- const char *controllername = it->second.c_str();
+ const char *deviceid = it->first.c_str();
+ const char *controllername = it->second.c_str();
// tokenize the controller name into device class and index (i.e. controller name should be of the form "GUNCODE_1")
std::string token[2];
int numtokens;
- const char *_token = controllername;
+ const char *_token = controllername;
for (numtokens = 0; numtokens < ARRAY_LENGTH(token); )
{
// make a token up to the next underscore
diff --git a/src/emu/romload.cpp b/src/emu/romload.cpp
index 7a983118e8a..be05a4505bc 100644
--- a/src/emu/romload.cpp
+++ b/src/emu/romload.cpp
@@ -1513,4 +1513,3 @@ std::vector<rom_entry> rom_build_entries(const tiny_rom_entry *tinyentries)
}
return result;
}
-
diff --git a/src/emu/softlist.cpp b/src/emu/softlist.cpp
index 684c83a82c5..dc433a54507 100644
--- a/src/emu/softlist.cpp
+++ b/src/emu/softlist.cpp
@@ -879,5 +879,3 @@ bool software_name_parse(const std::string &identifier, std::string *list_name,
*part_name = identifier.substr(split2 + 1);
return true;
}
-
-
diff --git a/src/emu/softlist.h b/src/emu/softlist.h
index a47ab9417f0..a303a819f26 100644
--- a/src/emu/softlist.h
+++ b/src/emu/softlist.h
@@ -211,4 +211,3 @@ private:
bool software_name_parse(const std::string &identifier, std::string *list_name = nullptr, std::string *software_name = nullptr, std::string *part_name = nullptr);
#endif // __SOFTLIST_H_
-
diff --git a/src/frontend/mame/ui/info.cpp b/src/frontend/mame/ui/info.cpp
index 1048ac426ce..fe0cee9af64 100644
--- a/src/frontend/mame/ui/info.cpp
+++ b/src/frontend/mame/ui/info.cpp
@@ -17,8 +17,6 @@
#include "softlist.h"
namespace ui {
-
-
//-------------------------------------------------
// machine_info - constructor
//-------------------------------------------------
diff --git a/src/frontend/mame/ui/info.h b/src/frontend/mame/ui/info.h
index 8a1d339dc73..1a038763a5c 100644
--- a/src/frontend/mame/ui/info.h
+++ b/src/frontend/mame/ui/info.h
@@ -16,7 +16,6 @@
#include "ui/menu.h"
namespace ui {
-
class machine_info
{
public:
diff --git a/src/frontend/mame/ui/selgame.cpp b/src/frontend/mame/ui/selgame.cpp
index fe9a7eeece7..0642be59cb5 100644
--- a/src/frontend/mame/ui/selgame.cpp
+++ b/src/frontend/mame/ui/selgame.cpp
@@ -36,7 +36,6 @@
extern const char UI_VERSION_TAG[];
namespace ui {
-
bool menu_select_game::first_start = true;
std::vector<const game_driver *> menu_select_game::m_sortedlist;
int menu_select_game::m_isabios = 0;
diff --git a/src/lib/util/opresolv.cpp b/src/lib/util/opresolv.cpp
index 44ba0e51583..05b8a5e8898 100644
--- a/src/lib/util/opresolv.cpp
+++ b/src/lib/util/opresolv.cpp
@@ -21,11 +21,11 @@
namespace util {
/***************************************************************************
- option_resolution
+ option_resolution
***************************************************************************/
// -------------------------------------------------
-// ctor
+// ctor
// -------------------------------------------------
option_resolution::option_resolution(const option_guide &guide)
@@ -63,7 +63,7 @@ option_resolution::option_resolution(const option_guide &guide)
// -------------------------------------------------
-// dtor
+// dtor
// -------------------------------------------------
option_resolution::~option_resolution()
@@ -72,7 +72,7 @@ option_resolution::~option_resolution()
// -------------------------------------------------
-// lookup_in_specification
+// lookup_in_specification
// -------------------------------------------------
const char *option_resolution::lookup_in_specification(const char *specification, const option_guide::entry &option)
@@ -84,8 +84,8 @@ const char *option_resolution::lookup_in_specification(const char *specification
// -------------------------------------------------
-// set_specification - sets the option specification
-// and mutates values accordingly
+// set_specification - sets the option specification
+// and mutates values accordingly
// -------------------------------------------------
void option_resolution::set_specification(const std::string &specification)
@@ -108,7 +108,7 @@ void option_resolution::set_specification(const std::string &specification)
// -------------------------------------------------
-// find
+// find
// -------------------------------------------------
option_resolution::entry *option_resolution::find(int parameter)
@@ -125,7 +125,7 @@ option_resolution::entry *option_resolution::find(int parameter)
// -------------------------------------------------
-// find
+// find
// -------------------------------------------------
option_resolution::entry *option_resolution::find(const std::string &identifier)
@@ -142,7 +142,7 @@ option_resolution::entry *option_resolution::find(const std::string &identifier)
// -------------------------------------------------
-// lookup_int
+// lookup_int
// -------------------------------------------------
int option_resolution::lookup_int(int parameter)
@@ -154,7 +154,7 @@ int option_resolution::lookup_int(int parameter)
// -------------------------------------------------
-// lookup_string
+// lookup_string
// -------------------------------------------------
const std::string &option_resolution::lookup_string(int parameter)
@@ -166,7 +166,7 @@ const std::string &option_resolution::lookup_string(int parameter)
// -------------------------------------------------
-// error_string
+// error_string
// -------------------------------------------------
option_resolution::error option_resolution::get_default(const char *specification, int option_char, int *val)
@@ -177,29 +177,29 @@ option_resolution::error option_resolution::get_default(const char *specificatio
// -------------------------------------------------
-// error_string
+// error_string
// -------------------------------------------------
const char *option_resolution::error_string(option_resolution::error err)
{
switch (err)
{
- case error::SUCCESS: return "The operation completed successfully";
- case error::OUTOFMEMORY: return "Out of memory";
- case error::PARAMOUTOFRANGE: return "Parameter out of range";
- case error::PARAMNOTSPECIFIED: return "Parameter not specified";
- case error::PARAMNOTFOUND: return "Unknown parameter";
- case error::PARAMALREADYSPECIFIED: return "Parameter specified multiple times";
- case error::BADPARAM: return "Invalid parameter";
- case error::SYNTAX: return "Syntax error";
- case error::INTERNAL: return "Internal error";
+ case error::SUCCESS: return "The operation completed successfully";
+ case error::OUTOFMEMORY: return "Out of memory";
+ case error::PARAMOUTOFRANGE: return "Parameter out of range";
+ case error::PARAMNOTSPECIFIED: return "Parameter not specified";
+ case error::PARAMNOTFOUND: return "Unknown parameter";
+ case error::PARAMALREADYSPECIFIED: return "Parameter specified multiple times";
+ case error::BADPARAM: return "Invalid parameter";
+ case error::SYNTAX: return "Syntax error";
+ case error::INTERNAL: return "Internal error";
}
return nullptr;
}
// -------------------------------------------------
-// entry::ctor
+// entry::ctor
// -------------------------------------------------
option_resolution::entry::entry(const option_guide::entry &guide_entry)
@@ -209,7 +209,7 @@ option_resolution::entry::entry(const option_guide::entry &guide_entry)
// -------------------------------------------------
-// entry::set_enum_value_range
+// entry::set_enum_value_range
// -------------------------------------------------
void option_resolution::entry::set_enum_value_range(const option_guide::entry *begin, const option_guide::entry *end)
@@ -220,7 +220,7 @@ void option_resolution::entry::set_enum_value_range(const option_guide::entry *b
// -------------------------------------------------
-// entry::parse_specification
+// entry::parse_specification
// -------------------------------------------------
void option_resolution::entry::parse_specification(const char *specification)
@@ -331,7 +331,7 @@ void option_resolution::entry::parse_specification(const char *specification)
// -------------------------------------------------
-// entry::numeric_value
+// entry::numeric_value
// -------------------------------------------------
std::string option_resolution::entry::numeric_value(int value)
@@ -341,7 +341,7 @@ std::string option_resolution::entry::numeric_value(int value)
// -------------------------------------------------
-// entry::value
+// entry::value
// -------------------------------------------------
const std::string &option_resolution::entry::value() const
@@ -352,7 +352,7 @@ const std::string &option_resolution::entry::value() const
// -------------------------------------------------
-// entry::value_int
+// entry::value_int
// -------------------------------------------------
int option_resolution::entry::value_int() const
@@ -362,7 +362,7 @@ int option_resolution::entry::value_int() const
// -------------------------------------------------
-// entry::set_value
+// entry::set_value
// -------------------------------------------------
bool option_resolution::entry::set_value(const std::string &value)
@@ -382,7 +382,7 @@ bool option_resolution::entry::set_value(const std::string &value)
// -------------------------------------------------
-// entry::can_bump_lower
+// entry::can_bump_lower
// -------------------------------------------------
bool option_resolution::entry::can_bump_lower() const
@@ -393,7 +393,7 @@ bool option_resolution::entry::can_bump_lower() const
// -------------------------------------------------
-// entry::can_bump_higher
+// entry::can_bump_higher
// -------------------------------------------------
bool option_resolution::entry::can_bump_higher() const
@@ -404,7 +404,7 @@ bool option_resolution::entry::can_bump_higher() const
// -------------------------------------------------
-// entry::bump_lower
+// entry::bump_lower
// -------------------------------------------------
bool option_resolution::entry::bump_lower()
@@ -436,7 +436,7 @@ bool option_resolution::entry::bump_lower()
// -------------------------------------------------
-// entry::bump_higher
+// entry::bump_higher
// -------------------------------------------------
bool option_resolution::entry::bump_higher()
@@ -468,7 +468,7 @@ bool option_resolution::entry::bump_higher()
// -------------------------------------------------
-// entry::find_in_ranges
+// entry::find_in_ranges
// -------------------------------------------------
option_resolution::entry::rangelist::const_iterator option_resolution::entry::find_in_ranges(int value) const
@@ -480,4 +480,4 @@ option_resolution::entry::rangelist::const_iterator option_resolution::entry::fi
}
-} // namespace util \ No newline at end of file
+} // namespace util
diff --git a/src/lib/util/opresolv.h b/src/lib/util/opresolv.h
index 760e6a237e8..1b1bc692118 100644
--- a/src/lib/util/opresolv.h
+++ b/src/lib/util/opresolv.h
@@ -52,28 +52,28 @@
// TYPE DEFINITIONS
//**************************************************************************
-#define OPTION_GUIDE_START(option_guide_) \
- namespace option_guide_impl_##option_guide_ \
- { \
- static const util::option_guide &get(); \
- }; \
- const util::option_guide &option_guide_ = option_guide_impl_##option_guide_::get(); \
- namespace option_guide_impl_##option_guide_ \
- { \
+#define OPTION_GUIDE_START(option_guide_) \
+ namespace option_guide_impl_##option_guide_ \
+ { \
+ static const util::option_guide &get(); \
+ }; \
+ const util::option_guide &option_guide_ = option_guide_impl_##option_guide_::get(); \
+ namespace option_guide_impl_##option_guide_ \
+ { \
static const auto actual = util::make_option_guide(0
-#define OPTION_GUIDE_END \
- ); \
- static const util::option_guide &get() { return actual; } \
- };
-#define OPTION_GUIDE_EXTERN(option_guide_) \
+#define OPTION_GUIDE_END \
+ ); \
+ static const util::option_guide &get() { return actual; } \
+ };
+#define OPTION_GUIDE_EXTERN(option_guide_) \
extern const util::option_guide &option_guide_
-#define OPTION_INT(option_char, identifier, display_name) \
+#define OPTION_INT(option_char, identifier, display_name) \
,util::option_guide::entry(util::option_guide::entry::option_type::INT, (option_char), (identifier), (display_name))
-#define OPTION_STRING(option_char, identifier, display_name) \
+#define OPTION_STRING(option_char, identifier, display_name) \
,util::option_guide::entry(util::option_guide::entry::option_type::STRING, (option_char), (identifier), (display_name))
-#define OPTION_ENUM_START(option_char, identifier, display_name) \
+#define OPTION_ENUM_START(option_char, identifier, display_name) \
,util::option_guide::entry(util::option_guide::entry::option_type::ENUM_BEGIN, (option_char), (identifier), (display_name))
-#define OPTION_ENUM(value, identifier, display_name) \
+#define OPTION_ENUM(value, identifier, display_name) \
,util::option_guide::entry(util::option_guide::entry::option_type::ENUM_VALUE, (value), (identifier), (display_name))
#define OPTION_ENUM_END
@@ -111,10 +111,10 @@ public:
int parameter() const { return m_parameter; }
const char *identifier() const { return m_identifier; }
const char *display_name() const { return m_display_name; }
-
+
private:
- option_type m_type;
- int m_parameter;
+ option_type m_type;
+ int m_parameter;
const char *m_identifier;
const char *m_display_name;
};
@@ -222,15 +222,15 @@ public:
private:
// references to the option guide
- const option_guide::entry & m_guide_entry;
- const option_guide::entry * m_enum_value_begin;
- const option_guide::entry * m_enum_value_end;
+ const option_guide::entry & m_guide_entry;
+ const option_guide::entry * m_enum_value_begin;
+ const option_guide::entry * m_enum_value_end;
// runtime state
- bool m_is_pertinent;
- std::string m_value;
- std::string m_default_value;
- rangelist m_ranges;
+ bool m_is_pertinent;
+ std::string m_value;
+ std::string m_default_value;
+ rangelist m_ranges;
// methods
void parse_specification(const char *specification);
diff --git a/src/lib/util/unicode.cpp b/src/lib/util/unicode.cpp
index 4613fa76350..65936e10b48 100644
--- a/src/lib/util/unicode.cpp
+++ b/src/lib/util/unicode.cpp
@@ -24,7 +24,7 @@ bool uchar_isvalid(unicode_char uchar)
//-------------------------------------------------
// uchar_is_printable - tests to see if a unicode
-// char is printable
+// char is printable
//-------------------------------------------------
bool uchar_is_printable(unicode_char uchar)
@@ -32,7 +32,7 @@ bool uchar_is_printable(unicode_char uchar)
return
!(0x0001f >= uchar) && // C0 control
!((0x0007f <= uchar) && (0x0009f >= uchar)) && // DEL and C1 control
- !((0x0fdd0 <= uchar) && (0x0fddf >= uchar)) && // noncharacters
+ !((0x0fdd0 <= uchar) && (0x0fddf >= uchar)) && // noncharacters
!(0x0fffe == (uchar & 0x0ffff)) && // byte-order detection noncharacter
!(0x0ffff == (uchar & 0x0ffff)); // the other noncharacter
}
@@ -40,7 +40,7 @@ bool uchar_is_printable(unicode_char uchar)
//-------------------------------------------------
// uchar_is_digit - tests to see if a unicode
-// char is a digit
+// char is a digit
//-------------------------------------------------
bool uchar_is_digit(unicode_char uchar)
diff --git a/src/mame/drivers/alpha68k.cpp b/src/mame/drivers/alpha68k.cpp
index 974597c581f..59676926d2f 100644
--- a/src/mame/drivers/alpha68k.cpp
+++ b/src/mame/drivers/alpha68k.cpp
@@ -2881,12 +2881,12 @@ ROM_START( goldmedla )
ROM_REGION( 0x40000, "maincpu", ROMREGION_ERASEFF )
ROM_LOAD16_BYTE( "gm3-7.bin", 0x00000, 0x10000, CRC(11a63f4c) SHA1(840a8f1f6d80d0395c65f8ad30cc6bfe5a9693f4) )
ROM_LOAD16_BYTE( "gm4-7.bin", 0x00001, 0x10000, CRC(e19966af) SHA1(a2523627fcc9f5e4a82b4ebec937880fc0e0e9f3) )
-
+
ROM_REGION16_BE( 0x40000, "data_bank", ROMREGION_ERASEFF )
ROM_LOAD16_BYTE( "gm1-7.bin", 0x00000, 0x10000, CRC(6d87b8a6) SHA1(6f47b42d6577691334784e961a991de2ad67f677) )
ROM_LOAD16_BYTE( "gm2-7.bin", 0x00001, 0x10000, CRC(8d579505) SHA1(81f225edbba1cac65275e2929336d076afbbd2bf) )
ROM_COPY( "data_bank", 0, 0x20000, 0x20000 )
-
+
ROM_REGION( 0x80000, "audiocpu", 0 ) // banking is slightly different from other Alpha68kII games
ROM_LOAD( "38.bin", 0x00000, 0x08000, BAD_DUMP CRC(4bf251b8) SHA1(d69a6607e92dbe8081c7c66b6853f02d578ef73f) ) // we use the bootleg set instead
ROM_CONTINUE( 0x18000, 0x08000 )
diff --git a/src/mame/drivers/apple2e.cpp b/src/mame/drivers/apple2e.cpp
index cf9cb5e05f5..91f93ab2848 100644
--- a/src/mame/drivers/apple2e.cpp
+++ b/src/mame/drivers/apple2e.cpp
@@ -3047,16 +3047,16 @@ static INPUT_PORTS_START( apple2ees )
PORT_INCLUDE(apple2_sysconfig)
INPUT_PORTS_END
-static INPUT_PORTS_START( apple2efr ) // French AZERTY keyboard (Apple uses the Belgian AZERTY layout in France also)
+static INPUT_PORTS_START( apple2efr ) // French AZERTY keyboard (Apple uses the Belgian AZERTY layout in France also)
PORT_START("X0")
PORT_BIT(0x001, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_NAME("Esc") PORT_CODE(KEYCODE_ESC) PORT_CHAR(27)
PORT_BIT(0x002, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_1) PORT_CHAR('1') PORT_CHAR('&')
PORT_BIT(0x004, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_2) PORT_CHAR('2') PORT_CHAR(0xe9) // e with acute
PORT_BIT(0x008, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_3) PORT_CHAR('3') PORT_CHAR('\"')
PORT_BIT(0x010, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_4) PORT_CHAR('4') PORT_CHAR('\'')
- PORT_BIT(0x040, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_5) PORT_CHAR('5') PORT_CHAR('(')
+ PORT_BIT(0x040, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_5) PORT_CHAR('5') PORT_CHAR('(')
PORT_BIT(0x020, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_6) PORT_CHAR('6') PORT_CHAR(0xa7) // section sign
- PORT_BIT(0x080, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_7) PORT_CHAR('7') PORT_CHAR(0xe8) // e with grave
+ PORT_BIT(0x080, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_7) PORT_CHAR('7') PORT_CHAR(0xe8) // e with grave
PORT_BIT(0x100, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_8) PORT_CHAR('8') PORT_CHAR('!')
PORT_BIT(0x200, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_9) PORT_CHAR('9') PORT_CHAR(0xe7) // c with cedilla
@@ -3103,7 +3103,7 @@ static INPUT_PORTS_START( apple2efr ) // French AZERTY keyboard (Apple uses the
PORT_BIT(0x008, IP_ACTIVE_HIGH, IPT_UNUSED)
PORT_BIT(0x010, IP_ACTIVE_HIGH, IPT_UNUSED)
PORT_BIT(0x020, IP_ACTIVE_HIGH, IPT_UNUSED)
- PORT_BIT(0x040, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_BACKSLASH) PORT_CHAR(0xa3) PORT_CHAR('`') // UK pound (actually to the left of the return key on the QSDF row)
+ PORT_BIT(0x040, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_BACKSLASH) PORT_CHAR(0xa3) PORT_CHAR('`') // UK pound (actually to the left of the return key on the QSDF row)
PORT_BIT(0x080, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_EQUALS) PORT_CHAR('_') PORT_CHAR('-')
PORT_BIT(0x100, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_0) PORT_CHAR('0') PORT_CHAR(0xe0) // a with grave
PORT_BIT(0x200, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_MINUS) PORT_CHAR(0xb0) PORT_CHAR(')') // degree symbol
@@ -3115,7 +3115,7 @@ static INPUT_PORTS_START( apple2efr ) // French AZERTY keyboard (Apple uses the
PORT_BIT(0x008, IP_ACTIVE_HIGH, IPT_UNUSED)
PORT_BIT(0x010, IP_ACTIVE_HIGH, IPT_UNUSED)
PORT_BIT(0x020, IP_ACTIVE_HIGH, IPT_UNUSED)
- PORT_BIT(0x040, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_TILDE) PORT_CHAR('>') PORT_CHAR('<') // actually the key between left shift and W
+ PORT_BIT(0x040, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_TILDE) PORT_CHAR('>') PORT_CHAR('<') // actually the key between left shift and W
PORT_BIT(0x080, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_P) PORT_CHAR('P') PORT_CHAR('p')
PORT_BIT(0x100, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_OPENBRACE) PORT_CHAR(0xa8) PORT_CHAR('^') // diaresis
PORT_BIT(0x200, IP_ACTIVE_HIGH, IPT_KEYBOARD) PORT_CODE(KEYCODE_CLOSEBRACE) PORT_CHAR('$') PORT_CHAR('*')
@@ -3769,11 +3769,11 @@ ROM_START(apple2eefr)
ROM_LOAD( "342-0274-a.e9", 0x0000, 0x2000, CRC(8f342081) SHA1(c81c1bbf237e70f8c3e5eef3c8fd5bd9b9f54d1e) )
ROM_REGION(0x8000,"maincpu",0)
- ROM_LOAD( "342-0304-a.e3", 0x0000, 0x2000, CRC(443aa7c4) SHA1(3aecc56a26134df51e65e17f33ae80c1f1ac93e6) )
- ROM_LOAD( "342-0303-a.e5", 0x2000, 0x2000, CRC(95e10034) SHA1(afb09bb96038232dc757d40c0605623cae38088e) )
+ ROM_LOAD( "342-0304-a.e3", 0x0000, 0x2000, CRC(443aa7c4) SHA1(3aecc56a26134df51e65e17f33ae80c1f1ac93e6) )
+ ROM_LOAD( "342-0303-a.e5", 0x2000, 0x2000, CRC(95e10034) SHA1(afb09bb96038232dc757d40c0605623cae38088e) )
ROM_REGION( 0x800, "keyboard", ROMREGION_ERASE00 )
- ROM_LOAD( "341-0326-a.f12", 0x0000, 0x0800, CRC(7e79f3fa) SHA1(17f22593e94c1e59ba110d4b32c3334ef418885c) )
+ ROM_LOAD( "341-0326-a.f12", 0x0000, 0x0800, CRC(7e79f3fa) SHA1(17f22593e94c1e59ba110d4b32c3334ef418885c) )
ROM_END
ROM_START(apple2ep)
diff --git a/src/mame/drivers/artmagic.cpp b/src/mame/drivers/artmagic.cpp
index 856d8413bed..21445451441 100644
--- a/src/mame/drivers/artmagic.cpp
+++ b/src/mame/drivers/artmagic.cpp
@@ -440,7 +440,7 @@ ADDRESS_MAP_END
// TODO: jumps to undefined area at PC=33a0 -> 230000, presumably protection device provides a code snippet
READ16_MEMBER(artmagic_state::shtstar_unk_r)
{
- // bits 7-4 should be 0
+ // bits 7-4 should be 0
// bit 2 and 0 are status ready related.
return 4 | 1; //machine().rand();
}
diff --git a/src/mame/drivers/chessmst.cpp b/src/mame/drivers/chessmst.cpp
index e0194657ddc..771b281ebd2 100644
--- a/src/mame/drivers/chessmst.cpp
+++ b/src/mame/drivers/chessmst.cpp
@@ -250,14 +250,14 @@ void chessmst_state::update_display()
{
if (BIT(m_digit_matrix, i))
output().set_indexed_value("digit", i, BITSWAP16(m_digit, 3,5,12,10,14,1,2,13,8,6,11,15,7,9,4,0) | (m_digit_dot << 16));
- }
+ }
}
WRITE8_MEMBER( chessmst_state::digits_w )
{
m_digit = (m_digit << 4) | (data & 0x0f);
m_digit_matrix = (data >> 4) & 0x0f;
-
+
update_display();
}
diff --git a/src/mame/drivers/cmi.cpp b/src/mame/drivers/cmi.cpp
index b43e47cfd12..d9bd2b54d4b 100644
--- a/src/mame/drivers/cmi.cpp
+++ b/src/mame/drivers/cmi.cpp
@@ -277,12 +277,12 @@ private:
int m_ptm_out0;
- int m_pia_0_irqa;
- int m_pia_0_irqb;
- int m_pia_1_irqa;
- int m_pia_1_irqb;
- int m_ptm_irq;
- int m_irq_state;
+ int m_pia_0_irqa;
+ int m_pia_0_irqb;
+ int m_pia_1_irqa;
+ int m_pia_1_irqb;
+ int m_ptm_irq;
+ int m_irq_state;
};
const device_type CMI01A_CHANNEL_CARD = &device_creator<cmi01a_device>;
@@ -651,9 +651,9 @@ private:
UINT8 m_map_ram_latch;
int m_cpu_active_space[2]; // TODO: Make one register
int m_cpu_map_switch[2];
- UINT8 m_irq_address[2][2];
- int m_m6809_bs_hack_cnt;
- int m_m6809_bs_hack_cpu;
+ UINT8 m_irq_address[2][2];
+ int m_m6809_bs_hack_cnt;
+ int m_m6809_bs_hack_cpu;
/* Q219 lightpen/graphics card */
std::unique_ptr<UINT8[]> m_video_ram;
@@ -688,7 +688,7 @@ private:
int m_ank_irqb;
// Master card (CMI-02)
- int m_cmi02_ptm_irq;
+ int m_cmi02_ptm_irq;
};
/**************************************
diff --git a/src/mame/drivers/cmmb.cpp b/src/mame/drivers/cmmb.cpp
index 1f4b2e525c6..f8cfbec09c8 100644
--- a/src/mame/drivers/cmmb.cpp
+++ b/src/mame/drivers/cmmb.cpp
@@ -154,7 +154,7 @@ WRITE8_MEMBER(cmmb_state::cmmb_output_w)
switch(offset)
{
case 0x01:
-// m_irq_mask = data & 0x80;
+// m_irq_mask = data & 0x80;
break;
case 0x02:
// bit 7 toggled - watchdog/eeprom?
@@ -195,7 +195,7 @@ static ADDRESS_MAP_START( cmmb_map, AS_PROGRAM, 8, cmmb_state )
AM_RANGE(0x2001, 0x2001) AM_READ_PORT("IN4")
AM_RANGE(0x2011, 0x2011) AM_READ_PORT("IN5")
AM_RANGE(0x2480, 0x249f) AM_RAM_DEVWRITE("palette", palette_device, write) AM_SHARE("palette")
- //AM_RANGE(0x4000, 0x400f) AM_READWRITE(cmmb_input_r,cmmb_output_w)
+ //AM_RANGE(0x4000, 0x400f) AM_READWRITE(cmmb_input_r,cmmb_output_w)
//AM_RANGE(0x4900, 0x4900) AM_READ(kludge_r)
AM_RANGE(0x4000, 0x7fff) AM_ROMBANK("bank1")
AM_RANGE(0xa000, 0xafff) AM_RAM
@@ -276,15 +276,15 @@ static INPUT_PORTS_START( cmmb )
PORT_DIPNAME( 0x08, 0x08, DEF_STR( Unknown ) ) // trackball H dir
PORT_DIPSETTING( 0x08, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_BIT( 0x10, IP_ACTIVE_LOW, IPT_COIN2 )
+ PORT_BIT( 0x10, IP_ACTIVE_LOW, IPT_COIN2 )
PORT_DIPNAME( 0x20, 0x20, DEF_STR( Unknown ) )
PORT_DIPSETTING( 0x20, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_BIT( 0x40, IP_ACTIVE_LOW, IPT_COIN1 )
+ PORT_BIT( 0x40, IP_ACTIVE_LOW, IPT_COIN1 )
PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unknown ) )
PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
-
+
PORT_START("IN0")
PORT_BIT( 0x01, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(1)
PORT_BIT( 0x02, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(1)
@@ -371,8 +371,8 @@ GFXDECODE_END
INTERRUPT_GEN_MEMBER(cmmb_state::vblank_irq)
{
-// if(machine().input().code_pressed_once(KEYCODE_Z))
-// device.execute().set_input_line(INPUT_LINE_NMI, PULSE_LINE);
+// if(machine().input().code_pressed_once(KEYCODE_Z))
+// device.execute().set_input_line(INPUT_LINE_NMI, PULSE_LINE);
}
void cmmb_state::machine_reset()
@@ -388,7 +388,7 @@ static MACHINE_CONFIG_START( cmmb, cmmb_state )
MCFG_CPU_VBLANK_INT_DRIVER("screen", cmmb_state, vblank_irq)
MCFG_AT29C020_ADD("at29c020")
-
+
/* video hardware */
MCFG_SCREEN_ADD("screen", RASTER)
MCFG_SCREEN_RAW_PARAMS(MAIN_CLOCK/12, 384, 0, 256, 264, 0, 240) // TBD, not real measurements
diff --git a/src/mame/drivers/cninja.cpp b/src/mame/drivers/cninja.cpp
index a27f141bcf7..1de47c9d6ee 100644
--- a/src/mame/drivers/cninja.cpp
+++ b/src/mame/drivers/cninja.cpp
@@ -1629,7 +1629,7 @@ ROM_START( cninjabl2 )
ROM_REGION( 0x10000, "audiocpu", 0 ) /* Sound CPU */
ROM_LOAD( "audio-prg.3", 0x00000, 0x8000, CRC(3eb65b6d) SHA1(e6d94223a7b98d33470ad4e387d6ce399b76ea4a) ) // first half empty
ROM_CONTINUE( 0x00000, 0x8000 )
-
+
ROM_REGION( 0x020000, "gfx1", 0 )
ROM_LOAD16_BYTE( "gl-08.rom", 0x00001, 0x10000, CRC(33a2b400) SHA1(fdb8de315f33705719c0ac03a61fb56ffbfdf597) ) /* chars */
ROM_LOAD16_BYTE( "gl-09.rom", 0x00000, 0x10000, CRC(5a2d4752) SHA1(617dd10a99b5b55ca64dcdd22a0f133b0d6b770d) )
diff --git a/src/mame/drivers/combatsc.cpp b/src/mame/drivers/combatsc.cpp
index ac9cdfb1bff..980ca960a1c 100644
--- a/src/mame/drivers/combatsc.cpp
+++ b/src/mame/drivers/combatsc.cpp
@@ -714,10 +714,10 @@ static MACHINE_CONFIG_START( combatsc, combatsc_state )
/* video hardware */
MCFG_SCREEN_ADD("screen", RASTER)
-// MCFG_SCREEN_REFRESH_RATE(60)
-// MCFG_SCREEN_VBLANK_TIME(ATTOSECONDS_IN_USEC(2500) /* not accurate */)
-// MCFG_SCREEN_SIZE(32*8, 32*8)
-// MCFG_SCREEN_VISIBLE_AREA(0*8, 32*8-1, 2*8, 30*8-1)
+// MCFG_SCREEN_REFRESH_RATE(60)
+// MCFG_SCREEN_VBLANK_TIME(ATTOSECONDS_IN_USEC(2500) /* not accurate */)
+// MCFG_SCREEN_SIZE(32*8, 32*8)
+// MCFG_SCREEN_VISIBLE_AREA(0*8, 32*8-1, 2*8, 30*8-1)
MCFG_SCREEN_RAW_PARAMS(XTAL_24MHz/3, 528, 0, 256, 256, 16, 240) // not accurate, assuming same to other Konami games (59.17)
MCFG_SCREEN_UPDATE_DRIVER(combatsc_state, screen_update_combatsc)
MCFG_SCREEN_PALETTE("palette")
diff --git a/src/mame/drivers/didact.cpp b/src/mame/drivers/didact.cpp
index 5333a6e92d2..611bf32e3af 100644
--- a/src/mame/drivers/didact.cpp
+++ b/src/mame/drivers/didact.cpp
@@ -23,13 +23,13 @@
* TODO:
* Didact designs: mp68a, md6802, Modulab, Esselte 100, Candela
* --------------------------------------------------------------------------
- * - Add PCB layouts OK OK OK
- * - Dump ROM:s, OK OK rev2
- * - Keyboard OK OK rev2
- * - Display/CRT OK OK OK
+ * - Add PCB layouts OK OK OK
+ * - Dump ROM:s, OK OK rev2
+ * - Keyboard OK OK rev2
+ * - Display/CRT OK OK OK
* - Clickable Artwork RQ RQ
* - Sound NA NA
- * - Cassette i/f OK
+ * - Cassette i/f OK
* - Expansion bus
* - Expansion overlay
* - Interrupts OK OK
@@ -728,7 +728,7 @@ WRITE8_MEMBER( e100_state::pia1_kbB_w )
UINT8 col;
// Keyboard
- // if (VERBOSE && data != m_pia1_B) LOGSCAN(("%s(%02x)\n", FUNCNAME, data));
+ // if (VERBOSE && data != m_pia1_B) LOGSCAN(("%s(%02x)\n", FUNCNAME, data));
m_pia1_B = data;
col = data & 0x0f;
m_kbd_74145->write( col );
@@ -806,106 +806,106 @@ ADDRESS_MAP_END
* - The 'REPT' key has a so far unknown function
*/
static INPUT_PORTS_START( e100 )
-/* Bits read on PIA1 A when issueing line number on PIA1 B bits 0-3 through a 74145 demultiplexer */
+/* Bits read on PIA1 A when issueing line number on PIA1 B bits 0-3 through a 74145 demultiplexer */
PORT_START("LINE0")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_SPACE) PORT_CHAR(' ')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_LSHIFT) PORT_CODE(KEYCODE_RSHIFT) PORT_CHAR(UCHAR_SHIFT_1)
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_LCONTROL) PORT_CHAR(UCHAR_SHIFT_2)
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("REPT") /* Not mapped yet */
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_SPACE) PORT_CHAR(' ')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_LSHIFT) PORT_CODE(KEYCODE_RSHIFT) PORT_CHAR(UCHAR_SHIFT_1)
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_LCONTROL) PORT_CHAR(UCHAR_SHIFT_2)
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("REPT") /* Not mapped yet */
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_UNUSED)
PORT_START("LINE1")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_Z) PORT_CHAR('z') PORT_CHAR('Z')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_A) PORT_CHAR('a') PORT_CHAR('A')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_Q) PORT_CHAR('q') PORT_CHAR('Q')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_1) PORT_CHAR('1') PORT_CHAR('!')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_Z) PORT_CHAR('z') PORT_CHAR('Z')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_A) PORT_CHAR('a') PORT_CHAR('A')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_Q) PORT_CHAR('q') PORT_CHAR('Q')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_1) PORT_CHAR('1') PORT_CHAR('!')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_UNUSED)
PORT_START("LINE2")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_PLUS_PAD) PORT_CHAR('+')
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_MINUS_PAD) PORT_CHAR('-')
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_X) PORT_CHAR('x') PORT_CHAR('X')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_S) PORT_CHAR('s') PORT_CHAR('S')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_W) PORT_CHAR('w') PORT_CHAR('W')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_2) PORT_CHAR('2') PORT_CHAR('"')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_SLASH_PAD) PORT_CHAR('/')
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_ASTERISK) PORT_CHAR('*')
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_PLUS_PAD) PORT_CHAR('+')
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_MINUS_PAD) PORT_CHAR('-')
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_X) PORT_CHAR('x') PORT_CHAR('X')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_S) PORT_CHAR('s') PORT_CHAR('S')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_W) PORT_CHAR('w') PORT_CHAR('W')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_2) PORT_CHAR('2') PORT_CHAR('"')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_SLASH_PAD) PORT_CHAR('/')
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_ASTERISK) PORT_CHAR('*')
PORT_START("LINE3")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_COLON) PORT_CHAR(0xF6) PORT_CHAR(0xD6)
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_STOP) PORT_CHAR('.') PORT_CHAR(':')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_L) PORT_CHAR('l') PORT_CHAR('L')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_O) PORT_CHAR('o') PORT_CHAR('O')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_9) PORT_CHAR(')') PORT_CHAR('9')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_P) PORT_CHAR('p') PORT_CHAR('P')
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_0) PORT_CHAR('0') PORT_CHAR('=')
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_COLON) PORT_CHAR(0xF6) PORT_CHAR(0xD6)
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_STOP) PORT_CHAR('.') PORT_CHAR(':')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_L) PORT_CHAR('l') PORT_CHAR('L')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_O) PORT_CHAR('o') PORT_CHAR('O')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_9) PORT_CHAR(')') PORT_CHAR('9')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_P) PORT_CHAR('p') PORT_CHAR('P')
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_0) PORT_CHAR('0') PORT_CHAR('=')
PORT_START("LINE4")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_QUOTE) PORT_CHAR(0xE4) PORT_CHAR(0xC4)
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_SLASH) PORT_CHAR('-') PORT_CHAR('_')
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_COMMA) PORT_CHAR(',') PORT_CHAR(';')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_K) PORT_CHAR('k') PORT_CHAR('K')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_I) PORT_CHAR('i') PORT_CHAR('I')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_8) PORT_CHAR('8') PORT_CHAR('(')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_OPENBRACE) PORT_CHAR(0xE5) PORT_CHAR(0xC5)
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_MINUS) PORT_CHAR('+') PORT_CHAR('?')
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_QUOTE) PORT_CHAR(0xE4) PORT_CHAR(0xC4)
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_SLASH) PORT_CHAR('-') PORT_CHAR('_')
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_COMMA) PORT_CHAR(',') PORT_CHAR(';')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_K) PORT_CHAR('k') PORT_CHAR('K')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_I) PORT_CHAR('i') PORT_CHAR('I')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_8) PORT_CHAR('8') PORT_CHAR('(')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_OPENBRACE) PORT_CHAR(0xE5) PORT_CHAR(0xC5)
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_MINUS) PORT_CHAR('+') PORT_CHAR('?')
PORT_START("LINE5")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("'/*") /* No good mapping */
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_M) PORT_CHAR('m') PORT_CHAR('M')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_J) PORT_CHAR('j') PORT_CHAR('J')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_U) PORT_CHAR('u') PORT_CHAR('U')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_7) PORT_CHAR('7') PORT_CHAR('/')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_CLOSEBRACE) PORT_CHAR('^')
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("PI") PORT_CODE(KEYCODE_ESC) PORT_CHAR(0x27)
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("'/*") /* No good mapping */
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_UNUSED)
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_M) PORT_CHAR('m') PORT_CHAR('M')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_J) PORT_CHAR('j') PORT_CHAR('J')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_U) PORT_CHAR('u') PORT_CHAR('U')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_7) PORT_CHAR('7') PORT_CHAR('/')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_CLOSEBRACE) PORT_CHAR('^')
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("PI") PORT_CODE(KEYCODE_ESC) PORT_CHAR(0x27)
PORT_START("LINE6")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_LEFT) PORT_CHAR(UCHAR_MAMEKEY(LEFT)) PORT_CHAR(UCHAR_MAMEKEY(UP))
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_RIGHT) PORT_CHAR(UCHAR_MAMEKEY(RIGHT)) PORT_CHAR(UCHAR_MAMEKEY(DOWN))
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_N) PORT_CHAR('n') PORT_CHAR('N')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_H) PORT_CHAR('h') PORT_CHAR('H')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_Y) PORT_CHAR('y') PORT_CHAR('Y')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_6) PORT_CHAR('&') PORT_CHAR('6')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_ENTER) PORT_CHAR('\r')
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_BACKSLASH2) PORT_CHAR('<') PORT_CHAR('>')
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_LEFT) PORT_CHAR(UCHAR_MAMEKEY(LEFT)) PORT_CHAR(UCHAR_MAMEKEY(UP))
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_RIGHT) PORT_CHAR(UCHAR_MAMEKEY(RIGHT)) PORT_CHAR(UCHAR_MAMEKEY(DOWN))
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_N) PORT_CHAR('n') PORT_CHAR('N')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_H) PORT_CHAR('h') PORT_CHAR('H')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_Y) PORT_CHAR('y') PORT_CHAR('Y')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_6) PORT_CHAR('&') PORT_CHAR('6')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_ENTER) PORT_CHAR('\r')
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_BACKSLASH2) PORT_CHAR('<') PORT_CHAR('>')
PORT_START("LINE7")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_1_PAD) PORT_CHAR(UCHAR_MAMEKEY(1_PAD))
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_0_PAD) PORT_CHAR(UCHAR_MAMEKEY(0_PAD))
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_B) PORT_CHAR('b') PORT_CHAR('B')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_G) PORT_CHAR('g') PORT_CHAR('G')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_T) PORT_CHAR('t') PORT_CHAR('T')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_5) PORT_CHAR('5') PORT_CHAR('%')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_4_PAD) PORT_CHAR(UCHAR_MAMEKEY(4_PAD))
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_7_PAD) PORT_CHAR(UCHAR_MAMEKEY(7_PAD))
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_1_PAD) PORT_CHAR(UCHAR_MAMEKEY(1_PAD))
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_0_PAD) PORT_CHAR(UCHAR_MAMEKEY(0_PAD))
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_B) PORT_CHAR('b') PORT_CHAR('B')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_G) PORT_CHAR('g') PORT_CHAR('G')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_T) PORT_CHAR('t') PORT_CHAR('T')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_5) PORT_CHAR('5') PORT_CHAR('%')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_4_PAD) PORT_CHAR(UCHAR_MAMEKEY(4_PAD))
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_7_PAD) PORT_CHAR(UCHAR_MAMEKEY(7_PAD))
PORT_START("LINE8")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_2_PAD) PORT_CHAR(UCHAR_MAMEKEY(3_PAD))
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_DEL_PAD) PORT_CHAR(UCHAR_MAMEKEY(STOP))
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_V) PORT_CHAR('v') PORT_CHAR('V')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_F) PORT_CHAR('f') PORT_CHAR('F')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_R) PORT_CHAR('r') PORT_CHAR('R')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_4) PORT_CHAR('4') PORT_CHAR('$')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_5_PAD) PORT_CHAR(UCHAR_MAMEKEY(5_PAD))
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_8_PAD) PORT_CHAR(UCHAR_MAMEKEY(8_PAD))
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_2_PAD) PORT_CHAR(UCHAR_MAMEKEY(3_PAD))
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_DEL_PAD) PORT_CHAR(UCHAR_MAMEKEY(STOP))
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_V) PORT_CHAR('v') PORT_CHAR('V')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_F) PORT_CHAR('f') PORT_CHAR('F')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_R) PORT_CHAR('r') PORT_CHAR('R')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_4) PORT_CHAR('4') PORT_CHAR('$')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_5_PAD) PORT_CHAR(UCHAR_MAMEKEY(5_PAD))
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_8_PAD) PORT_CHAR(UCHAR_MAMEKEY(8_PAD))
PORT_START("LINE9")
- PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_3_PAD) PORT_CHAR(UCHAR_MAMEKEY(3_PAD))
- PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("Keypad E") /* No good mapping */
- PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_C) PORT_CHAR('c') PORT_CHAR('C')
- PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_D) PORT_CHAR('d') PORT_CHAR('D')
- PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_E) PORT_CHAR('e') PORT_CHAR('E')
- PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_3) PORT_CHAR('3') PORT_CHAR('#')
- PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_6_PAD) PORT_CHAR(UCHAR_MAMEKEY(6_PAD))
- PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_9_PAD) PORT_CHAR(UCHAR_MAMEKEY(9_PAD))
+ PORT_BIT(0x01, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_3_PAD) PORT_CHAR(UCHAR_MAMEKEY(3_PAD))
+ PORT_BIT(0x02, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_NAME("Keypad E") /* No good mapping */
+ PORT_BIT(0x04, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_C) PORT_CHAR('c') PORT_CHAR('C')
+ PORT_BIT(0x08, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_D) PORT_CHAR('d') PORT_CHAR('D')
+ PORT_BIT(0x10, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_E) PORT_CHAR('e') PORT_CHAR('E')
+ PORT_BIT(0x20, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_3) PORT_CHAR('3') PORT_CHAR('#')
+ PORT_BIT(0x40, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_6_PAD) PORT_CHAR(UCHAR_MAMEKEY(6_PAD))
+ PORT_BIT(0x80, IP_ACTIVE_LOW, IPT_KEYBOARD) PORT_CODE(KEYCODE_9_PAD) PORT_CHAR(UCHAR_MAMEKEY(9_PAD))
INPUT_PORTS_END
static INPUT_PORTS_START( md6802 )
@@ -1064,12 +1064,12 @@ static MACHINE_CONFIG_START( e100, e100_state )
/* Cassette support - E100 uses 300 baud Kansas City Standard with 1200/2400 Hz modulation */
/* NOTE on usage: mame e100 -window -cass <wav file> -ui_active
- * Once running enable/disable internal UI by pressing Scroll Lock in case it interferes with target keys
+ * Once running enable/disable internal UI by pressing Scroll Lock in case it interferes with target keys
* Open the internal UI by pressing TAB and then select 'Tape Control' or use F2/Shift F2 for PLAY/PAUSE
- * In order to use a wav file it has first to be created using TAB and select the 'File manager'
- * Once created it may be given on the commandline or mounted via TAB and select
- * E100 supports cassette through the 'LOAD' and 'SAVE' commands with no arguments
- */
+ * In order to use a wav file it has first to be created using TAB and select the 'File manager'
+ * Once created it may be given on the commandline or mounted via TAB and select
+ * E100 supports cassette through the 'LOAD' and 'SAVE' commands with no arguments
+ */
MCFG_CASSETTE_ADD( "cassette" )
MCFG_CASSETTE_DEFAULT_STATE(CASSETTE_STOPPED | CASSETTE_SPEAKER_MUTED | CASSETTE_MOTOR_ENABLED)
diff --git a/src/mame/drivers/fccpu30.cpp b/src/mame/drivers/fccpu30.cpp
index a16231491f2..e811628ec57 100644
--- a/src/mame/drivers/fccpu30.cpp
+++ b/src/mame/drivers/fccpu30.cpp
@@ -232,10 +232,10 @@ fccpu30_state(const machine_config &mconfig, device_type type, const char *tag)
DECLARE_WRITE32_MEMBER (bootvect_w);
/* Interrupt support */
- // IRQ_CALLBACK_MEMBER(maincpu_iack_callback);
+ // IRQ_CALLBACK_MEMBER(maincpu_iack_callback);
DECLARE_WRITE_LINE_MEMBER(fga_irq_callback);
UINT8 fga_irq_state;
- // int fga_irq_vector;
+ // int fga_irq_vector;
int fga_irq_level;
/* Rotary switch PIT input */
@@ -492,7 +492,7 @@ void fccpu30_state::update_irq_to_maincpu()
LOGINT((" - fga_irq_level: %02x\n", fga_irq_level));
LOGINT((" - fga_irq_state: %02x\n", fga_irq_state));
switch (fga_irq_level & 0x07)
- {
+ {
case 1: m_maincpu->set_input_line(M68K_IRQ_1, fga_irq_state); break;
case 2: m_maincpu->set_input_line(M68K_IRQ_2, fga_irq_state); break;
case 3: m_maincpu->set_input_line(M68K_IRQ_3, fga_irq_state); break;
@@ -515,8 +515,8 @@ static MACHINE_CONFIG_START (fccpu30, fccpu30_state)
MCFG_NVRAM_ADD_0FILL("nvram")
/* Terminal Port config */
- /* Force CPU30 series of boards has up to four serial ports, p1-p4, the FGA boot uses p4 as console and subsequent
- firmware uses p1 as console and in an operating system environment there may be user login shells on the other.
+ /* Force CPU30 series of boards has up to four serial ports, p1-p4, the FGA boot uses p4 as console and subsequent
+ firmware uses p1 as console and in an operating system environment there may be user login shells on the other.
In order to use more than just one terminal MAME supports serial socket servers to which it is possible to
connect a telnet terminal to. The general syntax to open a socket server from the command prompts is:
@@ -537,27 +537,27 @@ static MACHINE_CONFIG_START (fccpu30, fccpu30_state)
#define RS232P4_TAG "rs232p4"
MCFG_DUSCC68562_ADD("duscc", DUSCC_CLOCK, 0, 0, 0, 0 )
- /* Port 1 on Port B */
+ /* Port 1 on Port B */
MCFG_DUSCC_OUT_TXDB_CB(DEVWRITELINE(RS232P1_TAG, rs232_port_device, write_txd))
MCFG_DUSCC_OUT_DTRB_CB(DEVWRITELINE(RS232P1_TAG, rs232_port_device, write_dtr))
MCFG_DUSCC_OUT_RTSB_CB(DEVWRITELINE(RS232P1_TAG, rs232_port_device, write_rts))
- /* Port 4 on Port A */
+ /* Port 4 on Port A */
MCFG_DUSCC_OUT_TXDA_CB(DEVWRITELINE(RS232P4_TAG, rs232_port_device, write_txd))
MCFG_DUSCC_OUT_DTRA_CB(DEVWRITELINE(RS232P4_TAG, rs232_port_device, write_dtr))
MCFG_DUSCC_OUT_RTSA_CB(DEVWRITELINE(RS232P4_TAG, rs232_port_device, write_rts))
- /* DUSCC1 interrupt signal REQN is connected to LOCAL IRQ4 of the FGA-002 and level is programmable */
+ /* DUSCC1 interrupt signal REQN is connected to LOCAL IRQ4 of the FGA-002 and level is programmable */
MCFG_DUSCC_OUT_INT_CB(DEVWRITELINE("fga002", fga002_device, lirq4_w))
MCFG_DUSCC68562_ADD("duscc2", DUSCC_CLOCK, 0, 0, 0, 0 )
- /* Port 2 on Port A */
+ /* Port 2 on Port A */
MCFG_DUSCC_OUT_TXDA_CB(DEVWRITELINE(RS232P2_TAG, rs232_port_device, write_txd))
MCFG_DUSCC_OUT_DTRA_CB(DEVWRITELINE(RS232P2_TAG, rs232_port_device, write_dtr))
MCFG_DUSCC_OUT_RTSA_CB(DEVWRITELINE(RS232P2_TAG, rs232_port_device, write_rts))
- /* Port 3 on Port B */
+ /* Port 3 on Port B */
MCFG_DUSCC_OUT_TXDB_CB(DEVWRITELINE(RS232P3_TAG, rs232_port_device, write_txd))
MCFG_DUSCC_OUT_DTRB_CB(DEVWRITELINE(RS232P3_TAG, rs232_port_device, write_dtr))
MCFG_DUSCC_OUT_RTSB_CB(DEVWRITELINE(RS232P3_TAG, rs232_port_device, write_rts))
- /* DUSCC2 interrupt signal REQN is connected to LOCAL IRQ5 of the FGA-002 and level is programmable */
+ /* DUSCC2 interrupt signal REQN is connected to LOCAL IRQ5 of the FGA-002 and level is programmable */
MCFG_DUSCC_OUT_INT_CB(DEVWRITELINE("fga002", fga002_device, lirq5_w))
MCFG_RS232_PORT_ADD (RS232P1_TAG, default_rs232_devices, nullptr)
@@ -700,7 +700,7 @@ ROM_END
* A Reg 04 <- 7f - Tx 8 bits, CTS and RTS, 1 STOP bit
* A Reg 06 <- 1b - Rx RTS, 8 bits, no DCD, no parity
* A Reg 0b <- e1 - RTS low, OUT1 = OUT2 = high, RxRdy asserted on FIFO not empty
- * TxRdy asserted on FIFO not full, Same Tx Residual Character Length as for REG_TPR
+ * TxRdy asserted on FIFO not full, Same Tx Residual Character Length as for REG_TPR
* A Reg 0e <- 27 - TRxC = RxCLK 1x, RTxC is input, RTS, GPO2, crystal oscillator connected to X2
* A Reg 07 <- 2d - Rx BRG 9600 (assuming a 14.7456 crystal)
* A Reg 05 <- 3d - Tx BRG 9600 (assuming a 14.7456 crystal)
diff --git a/src/mame/drivers/fidel6502.cpp b/src/mame/drivers/fidel6502.cpp
index 3ea8bbe6d6f..dcabbe72c52 100644
--- a/src/mame/drivers/fidel6502.cpp
+++ b/src/mame/drivers/fidel6502.cpp
@@ -574,7 +574,7 @@ WRITE8_MEMBER(fidel6502_state::eas_ppi_porta_w)
// d6: TSI START line
m_speech->data_w(space, 0, data & 0x3f);
m_speech->start_w(data >> 6 & 1);
-
+
// d7: ? (black wire to LED pcb)
}
@@ -593,11 +593,11 @@ READ8_MEMBER(fidel6502_state::eas_ppi_portb_r)
{
// d0: ? white wire from LED pcb
UINT8 data = 0;
-
+
// d1: TSI BUSY line
if (m_speech->busy_r())
data |= 2;
-
+
// d2,d3: not sure, language switch?
// d4-d7: N/C
return data | 0xc;
diff --git a/src/mame/drivers/flower.cpp b/src/mame/drivers/flower.cpp
index 77d7a2d8fef..6bce77cad69 100644
--- a/src/mame/drivers/flower.cpp
+++ b/src/mame/drivers/flower.cpp
@@ -87,7 +87,7 @@ public:
m_bgvram(*this, "bgvram"),
m_fgvram(*this, "fgvram")
{ }
-
+
required_device<palette_device> m_palette;
required_device<gfxdecode_device> m_gfxdecode;
required_shared_ptr<UINT8> m_txvram;
@@ -116,10 +116,10 @@ void flower_state::legacy_tx_draw(bitmap_ind16 &bitmap,const rectangle &cliprect
if(attr & 0x03) // debug
attr = machine().rand() & 0xfc;
-
+
gfx_0->transpen(bitmap,cliprect,tile,attr >> 2,0,0,x*8,y*8,3);
}
-
+
for (count=0;count<4*32;count++)
{
int x = count / 32;
@@ -130,10 +130,10 @@ void flower_state::legacy_tx_draw(bitmap_ind16 &bitmap,const rectangle &cliprect
if(attr & 0x03) // debug
attr = machine().rand() & 0xfc;
-
+
gfx_0->transpen(bitmap,cliprect,tile,attr >> 2,0,0,x*8+256,y*8,3);
}
-
+
}
void flower_state::legacy_layers_draw(bitmap_ind16 &bitmap,const rectangle &cliprect)
@@ -146,19 +146,19 @@ void flower_state::legacy_layers_draw(bitmap_ind16 &bitmap,const rectangle &clip
int x = count % 16;
int y = count / 16;
UINT8 tile, attr;
-
+
tile = m_bgvram[count];
attr = m_bgvram[count+0x100];
if(attr & 0xf) // debug
attr = machine().rand() & 0xf0;
-
+
gfx_1->opaque(bitmap,cliprect, tile, attr >> 4, 0, 0, x*16, y*16);
-
+
tile = m_fgvram[count];
attr = m_fgvram[count+0x100];
if(attr & 0xf)
attr = machine().rand() & 0xf0;
-
+
gfx_1->transpen(bitmap,cliprect, tile, attr >> 4, 0, 0, x*16, y*16, 15);
}
}
@@ -172,8 +172,8 @@ UINT32 flower_state::screen_update( screen_device &screen, bitmap_ind16 &bitmap,
static ADDRESS_MAP_START( shared_map, AS_PROGRAM, 8, flower_state )
AM_RANGE(0x0000, 0x7fff) AM_ROM
- AM_RANGE(0xc000, 0xdfff) AM_RAM AM_SHARE("workram")
- AM_RANGE(0xa000, 0xa000) AM_WRITENOP
+ AM_RANGE(0xc000, 0xdfff) AM_RAM AM_SHARE("workram")
+ AM_RANGE(0xa000, 0xa000) AM_WRITENOP
AM_RANGE(0xe000, 0xefff) AM_RAM AM_SHARE("txvram")
AM_RANGE(0xf000, 0xf1ff) AM_RAM AM_SHARE("bgvram")
AM_RANGE(0xf800, 0xf9ff) AM_RAM AM_SHARE("fgvram")
@@ -224,7 +224,7 @@ static MACHINE_CONFIG_START( flower, flower_state )
MCFG_SCREEN_RAW_PARAMS(XTAL_3_579545MHz*2, 442, 0, 288, 263, 16, 240) /* generic NTSC video timing at 256x224 */
MCFG_SCREEN_PALETTE("palette")
- MCFG_GFXDECODE_ADD("gfxdecode", "palette", flower)
+ MCFG_GFXDECODE_ADD("gfxdecode", "palette", flower)
MCFG_PALETTE_ADD_RRRRGGGGBBBB_PROMS("palette", 256)
MACHINE_CONFIG_END
@@ -298,7 +298,7 @@ ROM_START( flowerj ) /* Sega/Alpha version. Sega game number 834-5998 */
ROM_LOAD( "12.16e", 0x4000, 0x2000, CRC(e3779f7f) SHA1(8e12d06b3cdc2fcb7b77cc35f8eca45544cc4873) )
ROM_LOAD( "11.14e", 0x6000, 0x2000, CRC(8801b34f) SHA1(256059fcd16b21e076db1c18fd9669128df1d658) )
-
+
ROM_REGION( 0x8000, "sound1", 0 )
ROM_LOAD( "4.12a", 0x0000, 0x8000, CRC(851ed9fd) SHA1(5dc048b612e45da529502bf33d968737a7b0a646) ) /* 8-bit samples */
diff --git a/src/mame/drivers/hh_tms1k.cpp b/src/mame/drivers/hh_tms1k.cpp
index 29760321b0e..80bc065cecc 100644
--- a/src/mame/drivers/hh_tms1k.cpp
+++ b/src/mame/drivers/hh_tms1k.cpp
@@ -396,7 +396,7 @@ INPUT_CHANGED_MEMBER(hh_tms1k_state::power_button)
* PCB label PT-204 "Pair Card"
* TMS1000NLL MP0163 (die label 1000B, MP0163)
* 2x2-digit 7seg LED displays + 3 LEDs, 1-bit sound
-
+
A-One was a subsidiary of Bandai? The PCB serial PT-xxx is same, and the font
used on the boxes for "A-One LSI" is same as "Bandai Electronics" from early-80s.
@@ -432,10 +432,10 @@ WRITE16_MEMBER(matchnum_state::write_r)
{
// R3-R5,R8-R10: input mux
m_inp_mux = (data >> 3 & 7) | (data >> 5 & 0x38);
-
+
// R6,R7: speaker out
m_speaker->level_w(data >> 6 & 3);
-
+
// R0-R3: digit/led select
m_r = data;
prepare_display();
@@ -1369,7 +1369,7 @@ MACHINE_CONFIG_END
Coleco Head to Head Boxing
* TMS1100NLL M34018-N2 (die label M34018)
* 2-digit 7seg LED display, LED grid display, 1-bit sound
-
+
This appears to be the last game of Coleco's Head to Head series.
***************************************************************************/
@@ -4016,7 +4016,7 @@ WRITE16_MEMBER(bship_state::write_o)
{
// O4: explosion light bulb
display_matrix(1, 1, data >> 4 & 1, 1);
-
+
// other: sound
}
@@ -5451,7 +5451,7 @@ void tcfball_state::prepare_display()
// R8 enables leds, R9 enables digits
UINT16 mask = ((m_r >> 9 & 1) * 0x7f) | ((m_r >> 8 & 1) * 0x780);
UINT16 sel = ((m_r & 0x7f) | (m_r << 7 & 0x780)) & mask;
-
+
set_display_segmask(0x77, 0x7f);
set_display_segmask(0x08, 0xff); // R3 has DP
display_matrix(8, 11, m_o, sel);
@@ -5533,7 +5533,7 @@ MACHINE_CONFIG_END
Tandy Radio Shack Championship Football (model 60-2151)
* TMS1100NLL MP1183 (no decap)
* 7-digit 7seg LED display + LED grid, 1-bit sound
-
+
The hardware is almost the same as the MP1193 one, they added an extra row of leds.
known releases:
diff --git a/src/mame/drivers/jackal.cpp b/src/mame/drivers/jackal.cpp
index 19848b4ed1e..e03dec43873 100644
--- a/src/mame/drivers/jackal.cpp
+++ b/src/mame/drivers/jackal.cpp
@@ -126,7 +126,7 @@ WRITE8_MEMBER(jackal_state::jackal_rambank_w)
machine().bookkeeping().coin_counter_w(0, data & 0x01);
machine().bookkeeping().coin_counter_w(1, data & 0x02);
}
-
+
m_spritebank = &rgn[((data & 0x08) << 13)];
m_rambank = &rgn[((data & 0x10) << 12)];
membank("bank1")->set_entry((data & 0x20) ? 1 : 0);
diff --git a/src/mame/drivers/jangou.cpp b/src/mame/drivers/jangou.cpp
index a1bc600491b..ba6e0c150b1 100644
--- a/src/mame/drivers/jangou.cpp
+++ b/src/mame/drivers/jangou.cpp
@@ -694,10 +694,10 @@ static INPUT_PORTS_START( jngolady )
/* 6 or 7 dip-switches here? bit 6 seems used as vblank.*/
PORT_MODIFY("DSW")
PORT_DIPNAME( 0x03, 0x03, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("SW1:1,2")
- PORT_DIPSETTING( 0x03, DEF_STR( Easy ) )
- PORT_DIPSETTING( 0x01, DEF_STR( Medium ) )
+ PORT_DIPSETTING( 0x03, DEF_STR( Easy ) )
+ PORT_DIPSETTING( 0x01, DEF_STR( Medium ) )
PORT_DIPSETTING( 0x02, DEF_STR( Hard ) )
- PORT_DIPSETTING( 0x00, DEF_STR( Very_Hard ) )
+ PORT_DIPSETTING( 0x00, DEF_STR( Very_Hard ) )
PORT_DIPNAME( 0x04, 0x04, DEF_STR( Unused ) ) PORT_DIPLOCATION("SW1:3")
PORT_DIPSETTING( 0x04, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
diff --git a/src/mame/drivers/kim1.cpp b/src/mame/drivers/kim1.cpp
index b9e28e417db..50e3e36ef2c 100644
--- a/src/mame/drivers/kim1.cpp
+++ b/src/mame/drivers/kim1.cpp
@@ -276,7 +276,7 @@ static MACHINE_CONFIG_START( kim1, kim1_state )
MCFG_TIMER_DRIVER_ADD_PERIODIC("cassette_timer", kim1_state, kim1_cassette_input, attotime::from_hz(44100))
// software list
- MCFG_SOFTWARE_LIST_ADD ("cass_list", "kim1_cass")
+ MCFG_SOFTWARE_LIST_ADD ("cass_list", "kim1_cass")
MACHINE_CONFIG_END
diff --git a/src/mame/drivers/mac.cpp b/src/mame/drivers/mac.cpp
index ce6b924959f..dace268cf51 100644
--- a/src/mame/drivers/mac.cpp
+++ b/src/mame/drivers/mac.cpp
@@ -2239,7 +2239,7 @@ ROM_START( mac128k )
Hitachi:
[can't find reference for rom-hi]
"<Hitachi 'target' logo> 8413 // 3256 016 JAPAN // (C)APPLE 83 // 342-0221-A"
-
+
References:
http://www.vintagecomputer.net/apple/Macintosh/Macintosh_motherboard.jpg
https://upload.wikimedia.org/wikipedia/commons/3/34/Macintosh-motherboard.jpg
diff --git a/src/mame/drivers/mexico86.cpp b/src/mame/drivers/mexico86.cpp
index 653706138b3..80812407f14 100644
--- a/src/mame/drivers/mexico86.cpp
+++ b/src/mame/drivers/mexico86.cpp
@@ -414,7 +414,7 @@ void mexico86_state::machine_start()
save_item(NAME(m_mcu_initialised));
save_item(NAME(m_coin_last));
save_item(NAME(m_coin_fract));
-
+
save_item(NAME(m_charbank));
}
diff --git a/src/mame/drivers/mpu4.cpp b/src/mame/drivers/mpu4.cpp
index b1762982615..fa69848e4bc 100644
--- a/src/mame/drivers/mpu4.cpp
+++ b/src/mame/drivers/mpu4.cpp
@@ -17,7 +17,7 @@
/***********************************************************************************************************
Barcrest MPU4 highly preliminary driver.
MAME Driver J. Wallace and Haze
-
+
Thanks to Chris Wren and MFME for documentation.
This is the core driver, no video specific stuff should go in here.
diff --git a/src/mame/drivers/namcos1.cpp b/src/mame/drivers/namcos1.cpp
index 5e261adada5..8bc2cd87d73 100644
--- a/src/mame/drivers/namcos1.cpp
+++ b/src/mame/drivers/namcos1.cpp
@@ -182,7 +182,7 @@ Notes:
- The ROM/RAM test is NOT performed by default. It is only done if the test mode
switch is on when the game powers up (setting it and resetting is not enough).
You can manage to make it work if you press F2 quickly enough after the MAME
- startup screen, without having to exit MAME and restarting [or just use hard
+ startup screen, without having to exit MAME and restarting [or just use hard
reset].
- There are three watchdogs, one per CPU. Handling them separately is necessary
@@ -2702,7 +2702,7 @@ ROM_START( puzlclub )
ROM_LOAD( "pc1-c5.bin", 0xa0000, 0x20000, CRC(bc443c27) SHA1(af841b6a2b783b0d9b9bbc33083afbb56e8bff69) )
ROM_LOAD( "pc1-c6.bin", 0xc0000, 0x20000, CRC(ec0a3dc5) SHA1(a5148e99f3198196fd635ff4ac0275393e6f7033) )
ROM_LOAD( "pc1-c7.bin", 0xe0000, 0x20000, NO_DUMP ) // title screen gfxs are here, might not exist.
-
+
ROM_REGION( 0x100000, "gfx3", ROMREGION_ERASEFF ) /* sprites */
/* no sprites */
ROM_END
diff --git a/src/mame/drivers/namcos10.cpp b/src/mame/drivers/namcos10.cpp
index b8acb408f2d..5d72a034211 100644
--- a/src/mame/drivers/namcos10.cpp
+++ b/src/mame/drivers/namcos10.cpp
@@ -389,7 +389,7 @@ WRITE16_MEMBER(namcos10_state::bank_w)
READ16_MEMBER(namcos10_state::range_r)
{
UINT16 data = ((const UINT16 *)(memregion("maincpu:rom")->base()))[bank_base+offset];
-
+
if (decrypter == nullptr)
return data;
@@ -670,7 +670,7 @@ static void decrypt_bios( running_machine &machine, const char *regionName, int
DRIVER_INIT_MEMBER(namcos10_state,mrdrilr2)
{
int regSize = machine().root_device().memregion("maincpu:rom")->bytes();
-
+
decrypt_bios(machine(), "maincpu:rom", 0, 0x62000, 0xc, 0xd, 0xf, 0xe, 0xb, 0xa, 0x9, 0x8, 0x7, 0x6, 0x4, 0x1, 0x2, 0x5, 0x0, 0x3);
decrypt_bios(machine(), "maincpu:rom", 0x380000, regSize, 0xc, 0xd, 0xf, 0xe, 0xb, 0xa, 0x9, 0x8, 0x7, 0x6, 0x4, 0x1, 0x2, 0x5, 0x0, 0x3);
decrypter = static_cast<ns10_decrypter_device*>(machine().root_device().subdevice("decrypter"));
diff --git a/src/mame/drivers/naomi.cpp b/src/mame/drivers/naomi.cpp
index e8dcad5a464..ee9a601cceb 100644
--- a/src/mame/drivers/naomi.cpp
+++ b/src/mame/drivers/naomi.cpp
@@ -2962,8 +2962,8 @@ Probably at some stage of development NAOMI was planned as non-JVS system as wel
ROM_REGION( 0x4000, "altera_pof", 0) \
ROM_LOAD("315-6188.ic31", 0x0000, 0x2034, CRC(7c9fea46) SHA1(f77c07ae65dfed18c1c4c632c8945be21d02ddaf) )
-/*
- dcnaodev.bios comes from a dev / beta board. The eprom was a 27C4096
+/*
+ dcnaodev.bios comes from a dev / beta board. The eprom was a 27C4096
zukinver0930.ipl comes from 837-13502-01 / 837-13663 PCB which contains:
22 empty sockets ROM0 - ROM21
@@ -9714,7 +9714,7 @@ GAME( 2003, puyofevp, naomi, naomim1, naomi, naomi_state, naomi, ROT0, "Sega", "
/* 25469801 */ GAME( 2000, ninjaslta,ninjaslt,naomim2,naomi, naomi_state, naomi, ROT0, "Namco", "Ninja Assault (Asia, NJA2 Ver.A)", GAME_FLAGS )
/* 25469801 */ GAME( 2000, ninjasltu,ninjaslt,naomim2,naomi, naomi_state, naomi, ROT0, "Namco", "Ninja Assault (US, NJA3 Ver.A)", GAME_FLAGS )
/* 25469801 */ GAME( 2000, ninjaslt, naomi, naomim2,naomi, naomi_state, naomi, ROT0, "Namco", "Ninja Assault (World, NJA4 Ver.A)", GAME_FLAGS )
-/* 25509801 */ GAME( 2000, wldkicksj,wldkicks,naomim2,naomi, naomi_state, naomi, ROT0, "Namco", "World Kicks PCB (Japan, WKC1 Ver.A)", GAME_FLAGS ) // "PCB" means upright version, uses analog button on control panel to kick the ball
+/* 25509801 */ GAME( 2000, wldkicksj,wldkicks,naomim2,naomi, naomi_state, naomi, ROT0, "Namco", "World Kicks PCB (Japan, WKC1 Ver.A)", GAME_FLAGS ) // "PCB" means upright version, uses analog button on control panel to kick the ball
/* 25709801 */ GAME( 2001, gunsur2j, gunsur2, naomim2,naomi, naomi_state, naomi, ROT0, "Capcom / Namco", "Gun Survivor 2 Biohazard Code: Veronica (Japan, BHF1 Ver.E)", GAME_FLAGS )
/* 25709801 */ GAME( 2001, gunsur2, naomi, naomim2,naomi, naomi_state, naomi, ROT0, "Capcom / Namco", "Gun Survivor 2 Biohazard Code: Veronica (Asia, BHF2 Ver.E)", GAME_FLAGS )
/* 25869812 */ GAME( 2002, mazana, mazan, naomim2,naomi, naomi_state, naomi, ROT0, "Namco", "Mazan: Flash of the Blade (Asia, MAZ2 Ver.A)", GAME_FLAGS )
diff --git a/src/mame/drivers/nbmj8688.cpp b/src/mame/drivers/nbmj8688.cpp
index 08be3daf034..70b26e9261b 100644
--- a/src/mame/drivers/nbmj8688.cpp
+++ b/src/mame/drivers/nbmj8688.cpp
@@ -552,7 +552,7 @@ INPUT_PORTS_END
static INPUT_PORTS_START( otonano )
PORT_START("DSWA")
- PORT_DIPNAME( 0x07, 0x07, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("SWA:1,2,3")
+ PORT_DIPNAME( 0x07, 0x07, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("SWA:1,2,3")
PORT_DIPSETTING( 0x07, "1 (Easy)" )
PORT_DIPSETTING( 0x06, "2" )
PORT_DIPSETTING( 0x05, "3" )
@@ -561,24 +561,24 @@ static INPUT_PORTS_START( otonano )
PORT_DIPSETTING( 0x02, "6" )
PORT_DIPSETTING( 0x01, "7" )
PORT_DIPSETTING( 0x00, "8 (Hard)" )
- PORT_DIPNAME( 0x08, 0x00, "TSUMIPAI ENCHOU" ) PORT_DIPLOCATION("SWA:4")
+ PORT_DIPNAME( 0x08, 0x00, "TSUMIPAI ENCHOU" ) PORT_DIPLOCATION("SWA:4")
PORT_DIPSETTING( 0x08, DEF_STR( No ) )
PORT_DIPSETTING( 0x00, DEF_STR( Yes ) )
- PORT_DIPNAME( 0x10, 0x10, "Last chance needs 1,000points" ) PORT_DIPLOCATION("SWA:5")
+ PORT_DIPNAME( 0x10, 0x10, "Last chance needs 1,000points" ) PORT_DIPLOCATION("SWA:5")
PORT_DIPSETTING( 0x10, DEF_STR( No ) )
PORT_DIPSETTING( 0x00, DEF_STR( Yes ) )
- PORT_DIPNAME( 0x20, 0x20, DEF_STR( Coinage ) ) PORT_DIPLOCATION("SWA:6")
+ PORT_DIPNAME( 0x20, 0x20, DEF_STR( Coinage ) ) PORT_DIPLOCATION("SWA:6")
PORT_DIPSETTING( 0x20, DEF_STR( 1C_1C ) )
PORT_DIPSETTING( 0x00, DEF_STR( 1C_2C ) )
- PORT_DIPNAME( 0x40, 0x40, "Graphic ROM Test" ) PORT_DIPLOCATION("SWA:7")
+ PORT_DIPNAME( 0x40, 0x40, "Graphic ROM Test" ) PORT_DIPLOCATION("SWA:7")
PORT_DIPSETTING( 0x40, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x80, 0x80, "Play fee display" ) PORT_DIPLOCATION("SWA:8")
+ PORT_DIPNAME( 0x80, 0x80, "Play fee display" ) PORT_DIPLOCATION("SWA:8")
PORT_DIPSETTING( 0x80, "100 Yen" )
PORT_DIPSETTING( 0x00, "50 Yen" )
/* note this is actually unpopulated on the PCB and marked as unused
- in the manual */
+ in the manual */
PORT_START("DSWB")
PORT_DIPNAME( 0x01, 0x01, "Character Display Test" )
PORT_DIPSETTING( 0x01, DEF_STR( Off ) )
diff --git a/src/mame/drivers/nbmj8891.cpp b/src/mame/drivers/nbmj8891.cpp
index bf9bd3a8b29..d6708fd2cbe 100644
--- a/src/mame/drivers/nbmj8891.cpp
+++ b/src/mame/drivers/nbmj8891.cpp
@@ -1845,7 +1845,7 @@ INPUT_PORTS_END
static INPUT_PORTS_START( maiko )
PORT_START("DSWA")
- PORT_DIPNAME( 0x07, 0x07, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("DSWA:1,2,3")
+ PORT_DIPNAME( 0x07, 0x07, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("DSWA:1,2,3")
PORT_DIPSETTING( 0x07, "1 (Easy)" )
PORT_DIPSETTING( 0x06, "2" )
PORT_DIPSETTING( 0x05, "3" )
@@ -1854,45 +1854,45 @@ static INPUT_PORTS_START( maiko )
PORT_DIPSETTING( 0x02, "6" )
PORT_DIPSETTING( 0x01, "7" )
PORT_DIPSETTING( 0x00, "8 (Hard)" )
- PORT_DIPNAME( 0x08, 0x08, DEF_STR( Coinage ) ) PORT_DIPLOCATION("DSWA:4")
+ PORT_DIPNAME( 0x08, 0x08, DEF_STR( Coinage ) ) PORT_DIPLOCATION("DSWA:4")
PORT_DIPSETTING( 0x08, DEF_STR( 1C_1C ) )
PORT_DIPSETTING( 0x00, DEF_STR( 1C_2C ) )
- PORT_DIPNAME( 0x10, 0x10, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWA:5")
+ PORT_DIPNAME( 0x10, 0x10, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWA:5")
PORT_DIPSETTING( 0x10, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x20, 0x20, DEF_STR( Flip_Screen ) ) PORT_DIPLOCATION("DSWA:6")
+ PORT_DIPNAME( 0x20, 0x20, DEF_STR( Flip_Screen ) ) PORT_DIPLOCATION("DSWA:6")
PORT_DIPSETTING( 0x20, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x40, 0x40, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWA:7")
+ PORT_DIPNAME( 0x40, 0x40, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWA:7")
PORT_DIPSETTING( 0x40, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWA:8")
+ PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWA:8")
PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
PORT_START("DSWB")
- PORT_DIPNAME( 0x01, 0x01, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:1")
+ PORT_DIPNAME( 0x01, 0x01, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:1")
PORT_DIPSETTING( 0x01, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x02, 0x02, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:2")
+ PORT_DIPNAME( 0x02, 0x02, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:2")
PORT_DIPSETTING( 0x02, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x04, 0x00, "Oyaken" ) PORT_DIPLOCATION("DSWB:3")
+ PORT_DIPNAME( 0x04, 0x00, "Oyaken" ) PORT_DIPLOCATION("DSWB:3")
PORT_DIPSETTING( 0x04, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x08, 0x00, "Local Rule" ) PORT_DIPLOCATION("DSWB:4")
+ PORT_DIPNAME( 0x08, 0x00, "Local Rule" ) PORT_DIPLOCATION("DSWB:4")
PORT_DIPSETTING( 0x08, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x10, 0x10, "Graphic ROM Test" ) PORT_DIPLOCATION("DSWB:5")
+ PORT_DIPNAME( 0x10, 0x10, "Graphic ROM Test" ) PORT_DIPLOCATION("DSWB:5")
PORT_DIPSETTING( 0x10, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x20, 0x20, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:6")
+ PORT_DIPNAME( 0x20, 0x20, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:6")
PORT_DIPSETTING( 0x20, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x40, 0x40, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:7")
+ PORT_DIPNAME( 0x40, 0x40, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:7")
PORT_DIPSETTING( 0x40, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:8")
+ PORT_DIPNAME( 0x80, 0x80, DEF_STR( Unused ) ) PORT_DIPLOCATION("DSWB:8")
PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
diff --git a/src/mame/drivers/nbmj8991.cpp b/src/mame/drivers/nbmj8991.cpp
index 9dde02fb809..03d43b0c275 100644
--- a/src/mame/drivers/nbmj8991.cpp
+++ b/src/mame/drivers/nbmj8991.cpp
@@ -604,27 +604,27 @@ INPUT_PORTS_END
static INPUT_PORTS_START( qmhayaku )
PORT_START("DSWA")
- PORT_DIPNAME( 0x03, 0x03, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("DSWA:1,2")
+ PORT_DIPNAME( 0x03, 0x03, DEF_STR( Difficulty ) ) PORT_DIPLOCATION("DSWA:1,2")
PORT_DIPSETTING( 0x03, "1 (Easy)" )
PORT_DIPSETTING( 0x02, "2" )
PORT_DIPSETTING( 0x01, "3" )
PORT_DIPSETTING( 0x00, "4 (Hard)" )
- PORT_DIPNAME( 0x04, 0x04, DEF_STR( Coinage ) ) PORT_DIPLOCATION("DSWA:3")
+ PORT_DIPNAME( 0x04, 0x04, DEF_STR( Coinage ) ) PORT_DIPLOCATION("DSWA:3")
PORT_DIPSETTING( 0x04, DEF_STR( 1C_1C ) )
PORT_DIPSETTING( 0x00, DEF_STR( 1C_2C ) )
- PORT_DIPNAME( 0x08, 0x08, DEF_STR( Demo_Sounds ) ) PORT_DIPLOCATION("DSWA:4")
+ PORT_DIPNAME( 0x08, 0x08, DEF_STR( Demo_Sounds ) ) PORT_DIPLOCATION("DSWA:4")
PORT_DIPSETTING( 0x00, DEF_STR( Off ) )
PORT_DIPSETTING( 0x08, DEF_STR( On ) )
- PORT_DIPNAME( 0x10, 0x10, "Game Sounds" ) PORT_DIPLOCATION("DSWA:5")
+ PORT_DIPNAME( 0x10, 0x10, "Game Sounds" ) PORT_DIPLOCATION("DSWA:5")
PORT_DIPSETTING( 0x00, DEF_STR( Off ) )
PORT_DIPSETTING( 0x10, DEF_STR( On ) )
- PORT_DIPNAME( 0x20, 0x20, DEF_STR( Flip_Screen ) ) PORT_DIPLOCATION("DSWA:6")
+ PORT_DIPNAME( 0x20, 0x20, DEF_STR( Flip_Screen ) ) PORT_DIPLOCATION("DSWA:6")
PORT_DIPSETTING( 0x20, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x40, 0x40, "Character Display Test" ) PORT_DIPLOCATION("DSWA:7")
+ PORT_DIPNAME( 0x40, 0x40, "Character Display Test" ) PORT_DIPLOCATION("DSWA:7")
PORT_DIPSETTING( 0x40, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x80, 0x80, "Graphic ROM Test" ) PORT_DIPLOCATION("DSWA:8")
+ PORT_DIPNAME( 0x80, 0x80, "Graphic ROM Test" ) PORT_DIPLOCATION("DSWA:8")
PORT_DIPSETTING( 0x80, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
diff --git a/src/mame/drivers/octopus.cpp b/src/mame/drivers/octopus.cpp
index b08451dfb50..bae335fd0ca 100644
--- a/src/mame/drivers/octopus.cpp
+++ b/src/mame/drivers/octopus.cpp
@@ -184,7 +184,7 @@ public:
DECLARE_WRITE_LINE_MEMBER(spk_w);
DECLARE_WRITE_LINE_MEMBER(spk_freq_w);
DECLARE_WRITE_LINE_MEMBER(beep_w);
-
+
DECLARE_WRITE_LINE_MEMBER(dack0_w) { m_dma1->hack_w(state ? 0 : 1); } // for all unused DMA channel?
DECLARE_WRITE_LINE_MEMBER(dack1_w) { if(!state) m_current_dma = 1; else if(m_current_dma == 1) m_current_dma = -1; } // HD
DECLARE_WRITE_LINE_MEMBER(dack2_w) { if(!state) m_current_dma = 2; else if(m_current_dma == 2) m_current_dma = -1; } // RAM refresh
@@ -198,10 +198,10 @@ public:
{
BEEP_TIMER = 100
};
-
+
protected:
virtual void device_timer(emu_timer &timer, device_timer_id id, int param, void *ptr) override;
-
+
private:
required_device<cpu_device> m_maincpu;
required_device<cpu_device> m_subcpu;
@@ -222,7 +222,7 @@ private:
required_device<speaker_sound_device> m_speaker;
required_device<address_map_bank_device> m_z80_bankdev;
required_device<ram_device> m_ram;
-
+
UINT8 m_hd_bank; // HD bank select
UINT8 m_fd_bank; // Floppy bank select
UINT8 m_z80_bank; // Z80 bank / RAM refresh
@@ -238,7 +238,7 @@ private:
bool m_rtc_address;
bool m_rtc_data;
UINT8 m_prev_cntl;
-
+
emu_timer* m_timer_beep;
};
@@ -409,7 +409,7 @@ READ8_MEMBER(octopus_state::system_r)
case 0:
return 0x1f; // do bits 0-4 mean anything? Language DIPs?
}
-
+
return 0xff;
}
@@ -438,7 +438,7 @@ WRITE8_MEMBER(octopus_state::z80_io_w)
READ8_MEMBER(octopus_state::rtc_r)
{
UINT8 ret = 0xff;
-
+
if(m_rtc_data)
ret = m_rtc->read(space,1);
else if(m_rtc_address)
@@ -467,7 +467,7 @@ READ8_MEMBER(octopus_state::cntl_r)
WRITE8_MEMBER(octopus_state::cntl_w)
{
m_cntl = data;
-
+
if((m_cntl & 0x08) && !(m_prev_cntl & 0x08))
{
m_rtc_address = true;
@@ -605,7 +605,7 @@ IRQ_CALLBACK_MEMBER(octopus_state::x86_irq_cb)
void octopus_state::machine_start()
{
m_timer_beep = timer_alloc(BEEP_TIMER);
-
+
// install extra RAM
if(m_ram->size() > 0x20000)
m_maincpu->space(AS_PROGRAM).install_readwrite_bank(0x10000,m_ram->size()-1,"extra_ram_bank");
@@ -712,7 +712,7 @@ static MACHINE_CONFIG_START( octopus, octopus_state )
MCFG_I8255_OUT_PORTC_CB(WRITE8(octopus_state,gpo_w))
MCFG_MC146818_ADD("rtc", XTAL_32_768kHz)
MCFG_MC146818_IRQ_HANDLER(DEVWRITELINE("pic_slave",pic8259_device, ir2_w))
-
+
// Keyboard UART
MCFG_DEVICE_ADD("keyboard", I8251, 0)
MCFG_I8251_RXRDY_HANDLER(DEVWRITELINE("pic_slave",pic8259_device, ir4_w))
@@ -737,7 +737,7 @@ static MACHINE_CONFIG_START( octopus, octopus_state )
MCFG_PIT8253_CLK1(500) // DART channel B
MCFG_PIT8253_CLK2(2457500) // speaker frequency
MCFG_PIT8253_OUT2_HANDLER(WRITELINE(octopus_state,spk_freq_w))
-
+
MCFG_SPEAKER_STANDARD_MONO("mono")
MCFG_SOUND_ADD("speaker", SPEAKER_SOUND, 0)
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 0.50)
@@ -755,8 +755,8 @@ static MACHINE_CONFIG_START( octopus, octopus_state )
MCFG_SCREEN_SIZE(720, 360)
MCFG_SCREEN_VISIBLE_AREA(0, 720-1, 0, 360-1)
MCFG_SCREEN_UPDATE_DEVICE("crtc",scn2674_device, screen_update)
-// MCFG_SCREEN_PALETTE("palette")
-// MCFG_PALETTE_ADD_MONOCHROME("palette")
+// MCFG_SCREEN_PALETTE("palette")
+// MCFG_PALETTE_ADD_MONOCHROME("palette")
MCFG_SCN2674_VIDEO_ADD("crtc", 0, DEVWRITELINE("pic_slave",pic8259_device,ir0_w)) // character clock can be selectable, either 16MHz or 17.6MHz
MCFG_SCN2674_TEXT_CHARACTER_WIDTH(8)
diff --git a/src/mame/drivers/pacman.cpp b/src/mame/drivers/pacman.cpp
index 6c641f4568d..99cc3682426 100644
--- a/src/mame/drivers/pacman.cpp
+++ b/src/mame/drivers/pacman.cpp
@@ -1378,7 +1378,7 @@ ADDRESS_MAP_END
static ADDRESS_MAP_START( pengojpm_map, AS_PROGRAM, 8, pacman_state )
AM_RANGE(0x0000, 0x0fff) AM_ROM
-// AM_RANGE(0x1000, 0x1fff) // header check for 0x55aa at POST, diagnostic ROM?
+// AM_RANGE(0x1000, 0x1fff) // header check for 0x55aa at POST, diagnostic ROM?
AM_RANGE(0x4000, 0x7fff) AM_ROM
AM_RANGE(0x8000, 0x83ff) AM_RAM_WRITE(pacman_videoram_w) AM_SHARE("videoram")
@@ -4702,18 +4702,18 @@ ROM_END
ROM_START( pinguinos )
ROM_REGION( 0x10000, "maincpu", 0 )
- ROM_LOAD( "pg0_2732.bin", 0x0000, 0x1000, CRC(1a79436c) SHA1(341a0c86784b794c1206842d03da8111487099b0) )
- ROM_LOAD( "pg4_2732.bin", 0x4000, 0x1000, CRC(6210c06f) SHA1(995f63402720a337a2754eef6207d5c3331a0123) )
- ROM_LOAD( "pg5_2732.bin", 0x5000, 0x1000, CRC(7c83d678) SHA1(08fec6ba0f75684f1f5eb6d2ce3bf50b5b350a34) )
- ROM_LOAD( "pg6_2732.bin", 0x6000, 0x1000, CRC(ae646d36) SHA1(ac2621383607fa8eb81d44bba507e9fcf90c53bb) )
- ROM_LOAD( "pg7_2732.bin", 0x7000, 0x1000, CRC(1628eb6d) SHA1(44bd9d30828bb2440599fcd4a46f20fd798c24d5) )
-
+ ROM_LOAD( "pg0_2732.bin", 0x0000, 0x1000, CRC(1a79436c) SHA1(341a0c86784b794c1206842d03da8111487099b0) )
+ ROM_LOAD( "pg4_2732.bin", 0x4000, 0x1000, CRC(6210c06f) SHA1(995f63402720a337a2754eef6207d5c3331a0123) )
+ ROM_LOAD( "pg5_2732.bin", 0x5000, 0x1000, CRC(7c83d678) SHA1(08fec6ba0f75684f1f5eb6d2ce3bf50b5b350a34) )
+ ROM_LOAD( "pg6_2732.bin", 0x6000, 0x1000, CRC(ae646d36) SHA1(ac2621383607fa8eb81d44bba507e9fcf90c53bb) )
+ ROM_LOAD( "pg7_2732.bin", 0x7000, 0x1000, CRC(1628eb6d) SHA1(44bd9d30828bb2440599fcd4a46f20fd798c24d5) )
+
ROM_REGION( 0x2000, "gfx1", 0 )
- ROM_LOAD( "pg9_mb8516.e6", 0x0000, 0x0800, CRC(ad88978a) SHA1(a568baf751753660223958b722980f031310eba1) )
- ROM_LOAD( "pg11.h6", 0x0800, 0x0800, CRC(cee7cb9a) SHA1(718aacdb61e8a82e2fd6c753787b39b6e45e60b5) )
- ROM_LOAD( "pg10_mb8516.f6", 0x1000, 0x0800, CRC(bae319a3) SHA1(88f0562ba2501f16ddfaffb12c4d1c00315f4225) )
- ROM_LOAD( "pg12_2716.j6", 0x1800, 0x0800, CRC(5a5190e8) SHA1(caf49a348c649fbf959e97c632832bdb5bc068be) )
-
+ ROM_LOAD( "pg9_mb8516.e6", 0x0000, 0x0800, CRC(ad88978a) SHA1(a568baf751753660223958b722980f031310eba1) )
+ ROM_LOAD( "pg11.h6", 0x0800, 0x0800, CRC(cee7cb9a) SHA1(718aacdb61e8a82e2fd6c753787b39b6e45e60b5) )
+ ROM_LOAD( "pg10_mb8516.f6", 0x1000, 0x0800, CRC(bae319a3) SHA1(88f0562ba2501f16ddfaffb12c4d1c00315f4225) )
+ ROM_LOAD( "pg12_2716.j6", 0x1800, 0x0800, CRC(5a5190e8) SHA1(caf49a348c649fbf959e97c632832bdb5bc068be) )
+
ROM_REGION( 0x0420, "proms", 0 )
ROM_LOAD( "pr1633.78", 0x0000, 0x0020, BAD_DUMP CRC(3a5844ec) SHA1(680eab0e1204c9b74adc11588461651b474021bb) ) /* color palette */
ROM_LOAD( "pengopac.4a", 0x0020, 0x0100, BAD_DUMP CRC(ef283be2) SHA1(6d616348c06d08f3ffbe875a40036a2453cb45ad) ) /* color lookup */
@@ -7377,4 +7377,4 @@ GAME( 1999, superabco,superabc, superabc, superabc, pacman_state, superabc, ROT
GAME( 1981, pengojpm, pengo, pengojpm, pengojpm, driver_device, 0, ROT90, "bootleg", "Pengo (bootleg on Pac-Man hardware, set 1)", MACHINE_SUPPORTS_SAVE ) // conversion of pacmanjpm board with wire mods
GAME( 1981, pengopac, pengo, pengojpm, pengojpm, driver_device, 0, ROT90, "bootleg", "Pengo (bootleg on Pac-Man hardware, set 2)", MACHINE_SUPPORTS_SAVE ) // different conversion?
-GAME( 1982, pinguinos, pengo, pengojpm, pengojpm, driver_device, 0, ROT90, "bootleg (Aincar)", "Pinguinos (Spanish bootleg on Pac-Man hardware)", MACHINE_SUPPORTS_SAVE )
+GAME( 1982, pinguinos, pengo, pengojpm, pengojpm, driver_device, 0, ROT90, "bootleg (Aincar)", "Pinguinos (Spanish bootleg on Pac-Man hardware)", MACHINE_SUPPORTS_SAVE )
diff --git a/src/mame/drivers/pc1512.cpp b/src/mame/drivers/pc1512.cpp
index 9adaccadb22..499989b43b7 100644
--- a/src/mame/drivers/pc1512.cpp
+++ b/src/mame/drivers/pc1512.cpp
@@ -1197,7 +1197,7 @@ static MACHINE_CONFIG_START( pc1512, pc1512_state )
MCFG_DEVICE_ADD(PC1512_KEYBOARD_TAG, PC1512_KEYBOARD, 0)
MCFG_PC1512_KEYBOARD_CLOCK_CALLBACK(WRITELINE(pc1512_state, kbclk_w))
MCFG_PC1512_KEYBOARD_DATA_CALLBACK(WRITELINE(pc1512_state, kbdata_w))
-
+
MCFG_PC1512_MOUSE_PORT_ADD(PC1512_MOUSE_PORT_TAG, pc1512_mouse_port_devices, "mouse")
MCFG_PC1512_MOUSE_PORT_X_CB(WRITE8(pc1512_state, mouse_x_w))
MCFG_PC1512_MOUSE_PORT_Y_CB(WRITE8(pc1512_state, mouse_y_w))
@@ -1232,13 +1232,13 @@ static MACHINE_CONFIG_START( pc1512, pc1512_state )
MCFG_MC146818_ADD(MC146818_TAG, XTAL_32_768kHz)
MCFG_MC146818_IRQ_HANDLER(DEVWRITELINE(I8259A2_TAG, pic8259_device, ir2_w))
-
+
MCFG_PC_FDC_XT_ADD(PC_FDC_XT_TAG)
MCFG_PC_FDC_INTRQ_CALLBACK(WRITELINE(pc1512_state, fdc_int_w))
MCFG_PC_FDC_DRQ_CALLBACK(WRITELINE(pc1512_state, fdc_drq_w))
MCFG_FLOPPY_DRIVE_ADD(PC_FDC_XT_TAG ":0", pc1512_floppies, "525dd", pc1512_state::floppy_formats)
MCFG_FLOPPY_DRIVE_ADD(PC_FDC_XT_TAG ":1", pc1512_floppies, nullptr, pc1512_state::floppy_formats)
-
+
MCFG_DEVICE_ADD(INS8250_TAG, INS8250, XTAL_1_8432MHz)
MCFG_INS8250_OUT_TX_CB(DEVWRITELINE(RS232_TAG, rs232_port_device, write_txd))
MCFG_INS8250_OUT_DTR_CB(DEVWRITELINE(RS232_TAG, rs232_port_device, write_dtr))
@@ -1362,13 +1362,13 @@ static MACHINE_CONFIG_START( pc1640, pc1640_state )
MCFG_MC146818_ADD(MC146818_TAG, XTAL_32_768kHz)
MCFG_MC146818_IRQ_HANDLER(DEVWRITELINE(I8259A2_TAG, pic8259_device, ir2_w))
-
+
MCFG_PC_FDC_XT_ADD(PC_FDC_XT_TAG)
MCFG_PC_FDC_INTRQ_CALLBACK(WRITELINE(pc1512_state, fdc_int_w))
MCFG_PC_FDC_DRQ_CALLBACK(WRITELINE(pc1512_state, fdc_drq_w))
MCFG_FLOPPY_DRIVE_ADD(PC_FDC_XT_TAG ":0", pc1512_floppies, "525dd", pc1512_state::floppy_formats)
MCFG_FLOPPY_DRIVE_ADD(PC_FDC_XT_TAG ":1", pc1512_floppies, nullptr, pc1512_state::floppy_formats)
-
+
MCFG_DEVICE_ADD(INS8250_TAG, INS8250, XTAL_1_8432MHz)
MCFG_INS8250_OUT_TX_CB(DEVWRITELINE(RS232_TAG, rs232_port_device, write_txd))
MCFG_INS8250_OUT_DTR_CB(DEVWRITELINE(RS232_TAG, rs232_port_device, write_dtr))
diff --git a/src/mame/drivers/pcipc.cpp b/src/mame/drivers/pcipc.cpp
index 025dac17841..85f6597ab7a 100644
--- a/src/mame/drivers/pcipc.cpp
+++ b/src/mame/drivers/pcipc.cpp
@@ -189,7 +189,7 @@ WRITE8_MEMBER(pcipc_state::boot_state_w)
break;
}
logerror("Boot state %02x - %s\n", data, desc);
-
+
}
static MACHINE_CONFIG_START(pcipc, pcipc_state)
@@ -199,7 +199,7 @@ static MACHINE_CONFIG_START(pcipc, pcipc_state)
MCFG_I82439HX_ADD( ":pci:00.0", ":maincpu", 256*1024*1024)
MCFG_I82371SB_ISA_ADD(":pci:07.0")
MCFG_I82371SB_BOOT_STATE_HOOK(DEVWRITE8(":", pcipc_state, boot_state_w))
-// MCFG_IDE_PCI_ADD( ":pci:07.1", 0x80867010, 0x03, 0x00000000)
+// MCFG_IDE_PCI_ADD( ":pci:07.1", 0x80867010, 0x03, 0x00000000)
MCFG_MGA2064W_ADD( ":pci:12.0")
MACHINE_CONFIG_END
diff --git a/src/mame/drivers/pg685.cpp b/src/mame/drivers/pg685.cpp
index b4f721fe223..9be95720dc4 100644
--- a/src/mame/drivers/pg685.cpp
+++ b/src/mame/drivers/pg685.cpp
@@ -13,8 +13,8 @@ controllers. They ran PCP/M-86 and MS-DOS specially adapted for the architecture
http://oldcomputer.info/portables/pg685/index.htm
-The portable case contains a monochrome monitor (with a socket provided to
-drive an external monitor), a 5,25" floppy drive with 720KB capacity (DS,80
+The portable case contains a monochrome monitor (with a socket provided to
+drive an external monitor), a 5,25" floppy drive with 720KB capacity (DS,80
tracks, 9 sectors p.t., 512 Byters p.s.) and a MFM hard disk drive.
The PC is made up of several boards on a non-ISA bus backplane.
@@ -23,7 +23,7 @@ contained in NVRAM, and have to be updated using a testdisk if the two AA
batteries run out.
For this, a key switch with a reset setting plays a crucial role. Set the key
-to reset, insert disk in drive but don't close. Switch on machine, close drive
+to reset, insert disk in drive but don't close. Switch on machine, close drive
and set the switch to normal operation to start the setup.
Backplane: SCN2661B, D8253C-2, SAB 8259AP
@@ -59,12 +59,12 @@ static ADDRESS_MAP_START(pg685_mem, AS_PROGRAM, 16, pg685_state)
AM_RANGE(0xfc000,0xfffff) AM_ROM AM_REGION("bios", 0)
ADDRESS_MAP_END
-This machine only has a textmode screen, Tandon TM262 hard disk drive on a WD1010 controller,
-Teac FD-55FV-13-U floppy drive on a Siemens (WD)-1797-02P controller, 768KB of RAM, HD68A45SP
+This machine only has a textmode screen, Tandon TM262 hard disk drive on a WD1010 controller,
+Teac FD-55FV-13-U floppy drive on a Siemens (WD)-1797-02P controller, 768KB of RAM, HD68A45SP
display controller, upd8279c-25 keyboard controller.
Ports: Printer, V24, Module, AG-S5, Sinec H1, External Monitor
-CPU/Video: 16KB BIOS/CHAR EPROM, NEC V20 CPU, SAB 8259AP, 12.288 MHz crystal, 2xHM6116LP-3,
+CPU/Video: 16KB BIOS/CHAR EPROM, NEC V20 CPU, SAB 8259AP, 12.288 MHz crystal, 2xHM6116LP-3,
HD46505SP-1 (HD68A45SP), D8279C-2, D8251AFC
Module/Floppy: 2xP8255A, 4xHM6116LP-3, D8251AFC, 4.000000 MHz crystal, SAB 1797-02P, MM58167AN
HD: 4xD4016C, WD1010A-AL, 10,000000 MHz crystal
@@ -75,7 +75,7 @@ Memory: 27xTMS27C256-15
This machine has the BMG (bit mapped graphics) option, that John Elliott described as a memory mapped
hercules card. There is a GEM/3 display driver that was indeed derived from the Hercules one.
-The screen buffer starts at E000, the video card is at F9F0:80h, the beeper frequency at F9F0:36h,
+The screen buffer starts at E000, the video card is at F9F0:80h, the beeper frequency at F9F0:36h,
the serial port at F9F0:38h.
Graphics screen, MiniScribe 8425 hard disk drive on a WD2010B-AL controller, Teac FD-55FR 511-U floppy drive
@@ -208,7 +208,7 @@ static MACHINE_CONFIG_START( pg685oua12, pg685_state )
MCFG_CPU_PROGRAM_MAP(pg685oua12_mem)
// i/o cpu
-
+
// ram
// video hardware
@@ -216,15 +216,15 @@ static MACHINE_CONFIG_START( pg685oua12, pg685_state )
// sound hardware
// devices
-
+
// rs232 port
-
+
// keyboard
-
+
// printer
-
+
// floppy
-
+
// harddisk
MACHINE_CONFIG_END
@@ -239,10 +239,10 @@ ROM_START( pg685 )
ROM_LOAD( "pg685_oua11_s79200-g2_a901-03.bin", 0x0000, 0x4000, CRC(db13f2db) SHA1(5f65ab14d9c8acdcc5482b27e727ca43b1a7daf3) )
ROM_END
-ROM_START( pg685oua12 )
- ROM_REGION( 0x4000, "bios", ROMREGION_ERASEFF )
+ROM_START( pg685oua12 )
+ ROM_REGION( 0x4000, "bios", ROMREGION_ERASEFF )
ROM_LOAD( "pg685_oua12_bios.bin", 0x0000, 0x4000, CRC(94b8499b) SHA1(e29086a88f1f9fa17921c3d157cce725d4591328))
-
+
ROM_REGION( 0x4000, "chargen", 0 )
ROM_LOAD( "pg685_oua12_s79200-g39_a901-01.bin", 0x0000, 0x4000, CRC(fa722110) SHA1(b57ee67a77ff45a2544a2ae5203bc2199adfe023))
ROM_END
@@ -252,4 +252,4 @@ ROM_END
//**************************************************************************
/* YEAR NAME PARENT COMPAT MACHINE INPUT CLASS INIT COMPANY FULLNAME FLAGS */
COMP( 198?, pg685, 0, 0, pg685, pg685, driver_device, 0, "Siemens", "Simatic PG685 OUA11", MACHINE_NOT_WORKING | MACHINE_NO_SOUND)
-COMP( 198?, pg685oua12, pg685, 0, pg685oua12, pg685, driver_device, 0, "Siemens", "Simatic PG685 OUA12", MACHINE_NOT_WORKING | MACHINE_NO_SOUND) \ No newline at end of file
+COMP( 198?, pg685oua12, pg685, 0, pg685oua12, pg685, driver_device, 0, "Siemens", "Simatic PG685 OUA12", MACHINE_NOT_WORKING | MACHINE_NO_SOUND)
diff --git a/src/mame/drivers/photon2.cpp b/src/mame/drivers/photon2.cpp
index e938ef4901e..4377068b3fa 100644
--- a/src/mame/drivers/photon2.cpp
+++ b/src/mame/drivers/photon2.cpp
@@ -13,11 +13,11 @@
0 1 i8255
1 0 standard ZX FEh port (beeper, border color)
1 1 nothing
- - added i8255, uses A5 and A6 to select ports, usage are:
+ - added i8255, uses A5 and A6 to select ports, usage are:
PortA - joystick
- PortB - joystick (unused)
+ PortB - joystick (unused)
PortC - bit 0 - Coin in, 1-3 - Time per Coin switches, 4 - block Coin in (out), 5 - NMI (out)
-
+
Each coin buys you 1-6 minutes of game time.
*/
diff --git a/src/mame/drivers/popeye.cpp b/src/mame/drivers/popeye.cpp
index c1dd9554dfa..2d14f2edaae 100644
--- a/src/mame/drivers/popeye.cpp
+++ b/src/mame/drivers/popeye.cpp
@@ -711,7 +711,7 @@ ROM_START( popeyej )
ROM_REGION( 0x0200, "sprpal", 0 )
ROM_LOAD( "TPP1-T.3A.82S129", 0x0000, 0x0100, CRC(c5826883) SHA1(f2c4d3473b3bfa55bffad003dc1fd79540e7e0d1) ) /* sprite palette - low 4 bits */
- ROM_LOAD( "TPP1-T.2A.82S129", 0x0100, 0x0100, CRC(c576afba) SHA1(013c8e8db08a03c7ba156cfefa671d26155fe835) ) /* sprite palette - high 4 bits */
+ ROM_LOAD( "TPP1-T.2A.82S129", 0x0100, 0x0100, CRC(c576afba) SHA1(013c8e8db08a03c7ba156cfefa671d26155fe835) ) /* sprite palette - high 4 bits */
ROM_REGION( 0x0100, "prot", 0 )
ROM_LOAD( "TPP1-T.3J.82S129", 0x0000, 0x0100, CRC(a4655e2e) SHA1(2a620932fccb763c6c667278c0914f31b9f00ddf) ) /* timing for the protection ALU */
diff --git a/src/mame/drivers/rc702.cpp b/src/mame/drivers/rc702.cpp
index c14474f0817..b0eb44f763f 100644
--- a/src/mame/drivers/rc702.cpp
+++ b/src/mame/drivers/rc702.cpp
@@ -359,7 +359,7 @@ static MACHINE_CONFIG_START( rc702, rc702_state )
MCFG_DEVICE_ADD("pio", Z80PIO, XTAL_8MHz / 2)
MCFG_Z80PIO_OUT_INT_CB(INPUTLINE("maincpu", INPUT_LINE_IRQ0))
-// MCFG_Z80PIO_OUT_PB_CB(WRITE8(rc702_state, portxx_w)) // parallel port
+// MCFG_Z80PIO_OUT_PB_CB(WRITE8(rc702_state, portxx_w)) // parallel port
MCFG_DEVICE_ADD("dma", AM9517A, XTAL_8MHz / 2)
MCFG_I8237_OUT_HREQ_CB(WRITELINE(rc702_state, busreq_w))
diff --git a/src/mame/drivers/rollrace.cpp b/src/mame/drivers/rollrace.cpp
index b79237e0e5e..bfe3488b678 100644
--- a/src/mame/drivers/rollrace.cpp
+++ b/src/mame/drivers/rollrace.cpp
@@ -280,8 +280,8 @@ static MACHINE_CONFIG_DERIVED( rollace2, rollrace )
/* basic machine hardware */
-// MCFG_SCREEN_MODIFY("screen")
-// MCFG_SCREEN_VISIBLE_AREA(0,256-1,16, 255-16)
+// MCFG_SCREEN_MODIFY("screen")
+// MCFG_SCREEN_VISIBLE_AREA(0,256-1,16, 255-16)
MACHINE_CONFIG_END
diff --git a/src/mame/drivers/saturn.cpp b/src/mame/drivers/saturn.cpp
index 689d2ace928..43d4f6193ea 100644
--- a/src/mame/drivers/saturn.cpp
+++ b/src/mame/drivers/saturn.cpp
@@ -651,7 +651,7 @@ MACHINE_START_MEMBER(sat_console_state, saturn)
using namespace std::placeholders;
machine().debugger().console().register_command("saturn", CMDFLAG_NONE, 0, 1, 4, std::bind(&saturn_state::debug_commands, this, _1, _2, _3));
}
-
+
machine().device<scsp_device>("scsp")->set_ram_base(m_sound_ram);
m_maincpu->space(AS_PROGRAM).install_readwrite_handler(0x02400000, 0x027fffff, read32_delegate(FUNC(sat_console_state::saturn_null_ram_r),this), write32_delegate(FUNC(sat_console_state::saturn_null_ram_w),this));
diff --git a/src/mame/drivers/sauro.cpp b/src/mame/drivers/sauro.cpp
index 16ef54e68b3..6e10a1718fa 100644
--- a/src/mame/drivers/sauro.cpp
+++ b/src/mame/drivers/sauro.cpp
@@ -649,7 +649,7 @@ ROM_START( saurob )
ROM_REGION( 0x20000, "gfx3", 0 )
ROM_LOAD( "sauro-8.bin", 0x00000, 0x8000, CRC(e08b5d5e) SHA1(eaaeaa08b19c034ab2a2140f887edffca5f441b9) ) // sauro08.9j
- ROM_LOAD( "sauro-9.bin", 0x08000, 0x8000, CRC(7c707195) SHA1(0529f6808b0cec3e12ca51bee189841d21577786) ) // sauro09.11j
+ ROM_LOAD( "sauro-9.bin", 0x08000, 0x8000, CRC(7c707195) SHA1(0529f6808b0cec3e12ca51bee189841d21577786) ) // sauro09.11j
ROM_LOAD( "sauro-10.bin", 0x10000, 0x8000, CRC(c93380d1) SHA1(fc9655cc94c2d2058f83eb341be7e7856a08194f) ) // sauro10.12j
ROM_LOAD( "sauro-11.bin", 0x18000, 0x8000, CRC(f47982a8) SHA1(cbaeac272c015d9439f151cfb3449082f11a57a1) ) // sauro11.14j
@@ -658,7 +658,7 @@ ROM_START( saurob )
ROM_LOAD( "82s137-2.bin", 0x0400, 0x0400, CRC(c3e96d5d) SHA1(3f6f21526a4357e4a9a9d56a6f4ef5911af2d120) ) /* Green component */
ROM_LOAD( "82s137-1.bin", 0x0800, 0x0400, CRC(bdfcf00c) SHA1(9faf4d7f8959b64faa535c9945eec59c774a3760) ) /* Blue component */
- ROM_REGION( 0x0200, "user1", 0 ) // Unknown PROM was found near ROMs 6 & 7
+ ROM_REGION( 0x0200, "user1", 0 ) // Unknown PROM was found near ROMs 6 & 7
ROM_LOAD( "sauropr4.16h", 0x0000, 0x0200, CRC(5261bc11) SHA1(1cc7a9a7376e65f4587b75ef9382049458656372) )
ROM_END
diff --git a/src/mame/drivers/seattle.cpp b/src/mame/drivers/seattle.cpp
index 7b1dd26151e..5d7e3eb110e 100644
--- a/src/mame/drivers/seattle.cpp
+++ b/src/mame/drivers/seattle.cpp
@@ -1583,45 +1583,45 @@ WRITE32_MEMBER(seattle_state::output_w)
{
UINT8 op = (data >> 8) & 0xF;
UINT8 arg = data & 0xFF;
-
+
switch (op)
{
default:
logerror("Unknown output (%02X) = %02X\n", op, arg);
break;
-
+
case 0xF: break; // sync/security wrapper commands. arg matches the wrapped command.
-
+
case 0x7:
m_output_mode = arg;
break;
-
+
case 0xB:
switch (m_output_mode)
{
default:
logerror("Unknown output with mode (%02X) = %02X\n", m_output_mode, arg);
break;
-
+
case 0x04:
output().set_value("wheel", arg); // wheel motor delta. signed byte.
break;
-
+
case 0x05:
for (UINT8 bit = 0; bit < 8; bit++)
output().set_lamp_value(bit, (arg >> bit) & 0x1);
break;
-
+
case 0x06: // Hyperdrive LEDs 0-7
for (UINT8 bit = 0; bit < 8; bit++)
output().set_led_value(bit, (arg >> bit) & 0x1);
break;
-
+
case 0x07: // Hyperdrive LEDs 8-15
for (UINT8 bit = 0; bit < 8; bit++)
output().set_led_value(8 + bit, (arg >> bit) & 0x1);
break;
-
+
case 0x08: // Hyperdrive LEDs 16-23 (Only uses up to 19)
for (UINT8 bit = 0; bit < 8; bit++)
output().set_led_value(16 + bit, (arg >> bit) & 0x1);
@@ -1646,7 +1646,7 @@ READ32_MEMBER(seattle_state::widget_r)
result = m_ethernet_irq_state << WINT_ETHERNET_SHIFT;
result = ~result;
break;
-
+
case WREG_OUTPUT:
result = output_r(m_maincpu->space(AS_PROGRAM), 0, mem_mask);
break;
@@ -1681,7 +1681,7 @@ WRITE32_MEMBER(seattle_state::widget_w)
m_widget.irq_mask = data;
update_widget_irq();
break;
-
+
case WREG_OUTPUT:
output_w(m_maincpu->space(AS_PROGRAM), 0, data, mem_mask);
break;
diff --git a/src/mame/drivers/segas24.cpp b/src/mame/drivers/segas24.cpp
index 6ee815bcaf3..b62cc727138 100644
--- a/src/mame/drivers/segas24.cpp
+++ b/src/mame/drivers/segas24.cpp
@@ -354,7 +354,7 @@ Notes:
#define FRC_CLOCK_MODE0 (MASTER_CLOCK/2)/24 // /16 according to Charles
#define FRC_CLOCK_MODE1 (MASTER_CLOCK/2)/1536 // /1024 according to Charles, but /1536 sounds better
-#define FDC_LEGACY_LOG 0
+#define FDC_LEGACY_LOG 0
#define FDC_LOG(x) do { if (FDC_LEGACY_LOG) logerror x; } while (0)
enum {
@@ -1691,7 +1691,7 @@ static INPUT_PORTS_START( dcclub ) /* In the Japan set missing angle input */
PORT_DIPNAME( 0x8000, 0x8000, DEF_STR( Unknown ) )
PORT_DIPSETTING( 0x8000, DEF_STR( Off ) )
PORT_DIPSETTING( 0x0000, DEF_STR( On ) )
-
+
PORT_MODIFY("DSW")
PORT_DIPNAME( 0x01, 0x01, "Start Credit" ) PORT_DIPLOCATION("SW2:1")
PORT_DIPSETTING( 0x01, "1" )
diff --git a/src/mame/drivers/seta2.cpp b/src/mame/drivers/seta2.cpp
index 2e5fddbe059..caa44a63c32 100644
--- a/src/mame/drivers/seta2.cpp
+++ b/src/mame/drivers/seta2.cpp
@@ -517,7 +517,7 @@ ADDRESS_MAP_END
void seta2_state::staraudi_debug_outputs()
{
-// popmessage("L1: %04X L2: %04X CAM: %04X", m_lamps1, m_lamps2, m_cam);
+// popmessage("L1: %04X L2: %04X CAM: %04X", m_lamps1, m_lamps2, m_cam);
}
WRITE16_MEMBER(seta2_state::staraudi_lamps1_w)
@@ -528,7 +528,7 @@ WRITE16_MEMBER(seta2_state::staraudi_lamps1_w)
output().set_led_value(0, data & 0x0001 ); // Lamp 1 |
output().set_led_value(1, data & 0x0002 ); // Lamp 2 |- Camera Lamps
output().set_led_value(2, data & 0x0004 ); // Lamp 3 |
- // data & 0x0008 ); // Degauss
+ // data & 0x0008 ); // Degauss
}
staraudi_debug_outputs();
}
@@ -538,7 +538,7 @@ WRITE16_MEMBER(seta2_state::staraudi_lamps2_w)
COMBINE_DATA(&m_lamps2);
if (ACCESSING_BITS_0_7)
{
- // data & 0x0020 ); // ? Always On
+ // data & 0x0020 ); // ? Always On
output().set_led_value(3, data & 0x0040 ); // 2P Switch Lamp
output().set_led_value(4, data & 0x0080 ); // 1P Switch Lamp
}
@@ -550,10 +550,10 @@ WRITE16_MEMBER(seta2_state::staraudi_camera_w)
COMBINE_DATA(&m_cam);
if (ACCESSING_BITS_0_7)
{
- // data & 0x0001 ); // ? Always On
- // data & 0x0002 ); // ? Print Test
- // data & 0x0008 ); // Camera On (Test Mode)
- // data & 0x0020 ); // ?
+ // data & 0x0001 ); // ? Always On
+ // data & 0x0002 ); // ? Print Test
+ // data & 0x0008 ); // Camera On (Test Mode)
+ // data & 0x0020 ); // ?
}
staraudi_debug_outputs();
}
@@ -582,8 +582,8 @@ static ADDRESS_MAP_START( staraudi_map, AS_PROGRAM, 16, seta2_state )
AM_RANGE(0x400000, 0x45ffff) AM_READWRITE(staraudi_tileram_r, staraudi_tileram_w) AM_SHARE("tileram") // Tile RAM
-// AM_RANGE(0x500000, 0x53ffff) AM_RAM // Camera RAM (r8g8)
-// AM_RANGE(0x540000, 0x57ffff) AM_RAM // Camera RAM (00b8)
+// AM_RANGE(0x500000, 0x53ffff) AM_RAM // Camera RAM (r8g8)
+// AM_RANGE(0x540000, 0x57ffff) AM_RAM // Camera RAM (00b8)
AM_RANGE(0x500000, 0x57ffff) AM_RAM AM_SHARE("rgbram")
AM_RANGE(0x600000, 0x600001) AM_WRITE(staraudi_camera_w) // Camera Outputs
@@ -1793,18 +1793,18 @@ static INPUT_PORTS_START( staraudi )
PORT_DIPSETTING( 0x0000, DEF_STR( On ) )
PORT_DIPUNKNOWN_DIPLOC(0x0004, IP_ACTIVE_LOW, "SW1:3" )
PORT_DIPUNKNOWN_DIPLOC(0x0008, IP_ACTIVE_LOW, "SW1:4" )
- PORT_DIPNAME( 0x0010, 0x0010, "Show Camera Variables" ) PORT_DIPLOCATION("SW1:5") // camera test in service mode
+ PORT_DIPNAME( 0x0010, 0x0010, "Show Camera Variables" ) PORT_DIPLOCATION("SW1:5") // camera test in service mode
PORT_DIPSETTING( 0x0010, DEF_STR( Off ) )
PORT_DIPSETTING( 0x0000, DEF_STR( On ) )
- PORT_DIPNAME( 0x0020, 0x0000, "Parallel/Serial" ) PORT_DIPLOCATION("SW1:6") // activates parallel / serial reading (ERROR if not active)
+ PORT_DIPNAME( 0x0020, 0x0000, "Parallel/Serial" ) PORT_DIPLOCATION("SW1:6") // activates parallel / serial reading (ERROR if not active)
PORT_DIPSETTING( 0x0000, DEF_STR( Off ) )
PORT_DIPSETTING( 0x0020, DEF_STR( On ) )
PORT_DIPUNKNOWN_DIPLOC(0x0040, IP_ACTIVE_LOW, "SW1:7" )
- PORT_SERVICE_DIPLOC( 0x0080, IP_ACTIVE_LOW, "SW1:8" ) // service mode
+ PORT_SERVICE_DIPLOC( 0x0080, IP_ACTIVE_LOW, "SW1:8" ) // service mode
PORT_BIT( 0xff00, IP_ACTIVE_LOW, IPT_UNKNOWN )
PORT_START("DSW2") // $700302.w
- PORT_DIPUNKNOWN_DIPLOC(0x0001, IP_ACTIVE_LOW, "SW2:1" ) // ?
+ PORT_DIPUNKNOWN_DIPLOC(0x0001, IP_ACTIVE_LOW, "SW2:1" ) // ?
PORT_DIPUNKNOWN_DIPLOC(0x0002, IP_ACTIVE_LOW, "SW2:2" )
PORT_DIPUNKNOWN_DIPLOC(0x0004, IP_ACTIVE_LOW, "SW2:3" )
PORT_DIPUNKNOWN_DIPLOC(0x0008, IP_ACTIVE_LOW, "SW2:4" )
@@ -1825,7 +1825,7 @@ static INPUT_PORTS_START( staraudi )
PORT_BIT( 0x0008, IP_ACTIVE_LOW, IPT_JOYSTICK_DOWN ) PORT_PLAYER(1)
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(1)
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(1) PORT_NAME("Camera Variables? (Cheat)")
- PORT_BIT( 0x0040, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(1) PORT_NAME("Flip Screen / Monitor Sync (Cheat)") // keep pressed during boot / press together with up
+ PORT_BIT( 0x0040, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(1) PORT_NAME("Flip Screen / Monitor Sync (Cheat)") // keep pressed during boot / press together with up
PORT_BIT( 0x0080, IP_ACTIVE_LOW, IPT_BUTTON4 ) PORT_PLAYER(1) PORT_NAME("Reset Monitor Sync (Cheat)")
PORT_BIT( 0xff00, IP_ACTIVE_LOW, IPT_UNKNOWN )
@@ -1836,7 +1836,7 @@ static INPUT_PORTS_START( staraudi )
PORT_BIT( 0x0008, IP_ACTIVE_LOW, IPT_JOYSTICK_DOWN ) PORT_PLAYER(2)
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_BUTTON1 ) PORT_PLAYER(2)
PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_BUTTON2 ) PORT_PLAYER(2) PORT_NAME("Slow Motion (Cheat)")
- PORT_BIT( 0x0040, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(2) PORT_NAME("Pause (Cheat)") // something in monitor sync menu too
+ PORT_BIT( 0x0040, IP_ACTIVE_LOW, IPT_BUTTON3 ) PORT_PLAYER(2) PORT_NAME("Pause (Cheat)") // something in monitor sync menu too
PORT_BIT( 0x0080, IP_ACTIVE_LOW, IPT_BUTTON4 ) PORT_PLAYER(2) // unused?
PORT_BIT( 0xff00, IP_ACTIVE_LOW, IPT_UNKNOWN )
@@ -1844,9 +1844,9 @@ static INPUT_PORTS_START( staraudi )
PORT_BIT( 0x0001, IP_ACTIVE_LOW, IPT_COIN1 ) PORT_IMPULSE(5)
PORT_BIT( 0x0002, IP_ACTIVE_LOW, IPT_SERVICE2 ) PORT_NAME("Degauss")
PORT_BIT( 0x0004, IP_ACTIVE_LOW, IPT_SERVICE1 ) // service coin
- PORT_BIT( 0x0008, IP_ACTIVE_LOW, IPT_SERVICE3 ) PORT_NAME("Reset")
+ PORT_BIT( 0x0008, IP_ACTIVE_LOW, IPT_SERVICE3 ) PORT_NAME("Reset")
PORT_BIT( 0x0010, IP_ACTIVE_LOW, IPT_SERVICE4 ) // unused?
- PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_START2 ) // something (flash activity)
+ PORT_BIT( 0x0020, IP_ACTIVE_LOW, IPT_START2 ) // something (flash activity)
PORT_BIT( 0x0040, IP_ACTIVE_LOW, IPT_START3 ) // unused?
PORT_BIT( 0x0080, IP_ACTIVE_LOW, IPT_CUSTOM ) PORT_VBLANK("screen")
PORT_BIT( 0xff00, IP_ACTIVE_LOW, IPT_START4 ) // unused?
@@ -3521,7 +3521,7 @@ ROM_START( staraudi )
ROM_REGION( 0x500000, "x1snd", 0 ) // Samples
// Leave 1MB empty (addressable by the chip)
- ROM_LOAD( "su1_snd.u32", 0x100000, 0x400000, CRC(d5376010) SHA1(89fab1fbb45c7cf8acb63c31ecafdeb3482c2fec) ) // BAD, inconsistent reads: FIXED BITS (xxxxxxxx00000000)
+ ROM_LOAD( "su1_snd.u32", 0x100000, 0x400000, CRC(d5376010) SHA1(89fab1fbb45c7cf8acb63c31ecafdeb3482c2fec) ) // BAD, inconsistent reads: FIXED BITS (xxxxxxxx00000000)
ROM_END
DRIVER_INIT_MEMBER(seta2_state,staraudi)
diff --git a/src/mame/drivers/superqix.cpp b/src/mame/drivers/superqix.cpp
index d7f86818fdb..52e0ca43819 100644
--- a/src/mame/drivers/superqix.cpp
+++ b/src/mame/drivers/superqix.cpp
@@ -54,7 +54,7 @@ Super Qix:
coin lockouts without inverting JAMMA pins K and/or 9. The hack below on V1.0
pcbs with the two wires connecting to IC 7H may have been a workaround which
involved a customized JAMMA connector/harness. How exactly is unclear.
-
+
- All Taito Super Qix PCBS are part M6100237A, and have a wiring hack on top of
component 7H (a 74LS86 Quad XOR gate):
(reference: 74LS86 pins 4, 5 and 12 are 2A, 2B and 4A respectively, none are
@@ -65,7 +65,7 @@ Super Qix:
(possibly to invert the coin lockout value using one of the XOR gates
at 7H (or perhaps 7H controls the coin lockouts themselves?)) but what
exactly the hack does is unclear without further tracing.
-
+
The V1.1, V1.2 and US PCBS have two resistors from VCC to GND forming a
voltage divider on top of 7H, the resistor from VCC/Pin 14 to Common is
22KOhms, the other resistor is unknown and seems to connect to GND/Pin 7.
@@ -73,7 +73,7 @@ Super Qix:
the other end of the capacitor connects to 7H pin 12.
This implies some sort of brief/reset pulse generation or filter on pin 12.
Again, what exactly this accomplishes is unclear without further tracing.
-
+
- sqixb2 is a bootleg of sqixb1, with the MCU removed.
- Prebillian controls: (from the Japanese flyer):
@@ -200,7 +200,7 @@ WRITE8_MEMBER(superqix_state::pbillian_sample_trigger_w)
/**************************************************************************
Timers
-
+
**************************************************************************/
void superqix_state::device_timer(emu_timer &timer, device_timer_id id, int param, void *ptr)
@@ -441,20 +441,20 @@ WRITE8_MEMBER(superqix_state::bootleg_flipscreen_w)
/***************************************************************************
Hot Smash Z80 <-> 68705 protection interface
-
+
High level commands; these commands are parsed by the MCU from the z80->mcu
register when the MCU's /INT pin is activated, which seems to occur on a
write to the Z80->MCU register by the Z80.
-
+
MCU Commands Legend (hotsmash)
0x00 - Reset MCU (jumps to reset vector; does not return anything or set mcu->z80 semaphore)
0x01 - Read Spinner Position Counter for Player 1 (p1, bits 2 and 3 quadrature, counter range is clamped to 00-7f) OR Protection Read
- Protection reads are reads of a variable length of a rom extending from MCU rom 0x80 to 0xff, and are only every even byte;
- the strange values returned by the protection functions below are actually the raw rom offset in mcu rom where the reads will come from.
- The first byte of each read is checked if it is >= or < 0x32, if it is >= it is thrown out and the next byte is ignored. if it is <, it is thrown out,
- and the next byte is returned instead of reading spinner 1. In the case where the byte of the rom WOULD BE 0xFF, instead based on the LSB of the spinner
- counter value (effectively a random coin flip) 0x8A or 0x8B is returned. This protection value might actually be the ball speed or AI aggressiveness
- per level after a certain number of ball hits/points scored, as it always increases, to a limit.
+ Protection reads are reads of a variable length of a rom extending from MCU rom 0x80 to 0xff, and are only every even byte;
+ the strange values returned by the protection functions below are actually the raw rom offset in mcu rom where the reads will come from.
+ The first byte of each read is checked if it is >= or < 0x32, if it is >= it is thrown out and the next byte is ignored. if it is <, it is thrown out,
+ and the next byte is returned instead of reading spinner 1. In the case where the byte of the rom WOULD BE 0xFF, instead based on the LSB of the spinner
+ counter value (effectively a random coin flip) 0x8A or 0x8B is returned. This protection value might actually be the ball speed or AI aggressiveness
+ per level after a certain number of ball hits/points scored, as it always increases, to a limit.
0x02 - Read Spinner Position Counter for Player 2 (p2, bits 3 and 2 quadrature, counter range is clamped to 00-7f)
0x04 - Read dipswitch array sw1 and send to z80
0x08 - Read dipswitch array sw2 and send to z80 and also write them to $3b
@@ -462,229 +462,229 @@ WRITE8_MEMBER(superqix_state::bootleg_flipscreen_w)
0x40 - Reset score and start 1P vs CPU game; returns number of points per game win based on sw2:3; clears counters and clears $3a
0x41 - Reset score and start 2P game; returns number of points per game win based on sw2:3; clears counters and sets $3a bit 0
0x80 - Increment score for CPU/P2, reset protection read suboffset and set protection read enable flag
- If in a 2P game, if p2 scored more than sw2.5?4:3 points, and won 2 matches, clear matches won by both players and return 0xb3
- If in a 2P game, if p2 scored more than sw2.5?4:3 points, and did not yet win 2 matches, return 0x9d
- If in a 2P game, if p2 did not yet score more than sw2.5?4:3 points, return 0x89
- If in a 1P game, if cpu scored more than sw2.5?4:3 points, return 0xee
- If in a 1P game, if cpu did not yet score more than sw2.5?4:3 points, return 0xd9
+ If in a 2P game, if p2 scored more than sw2.5?4:3 points, and won 2 matches, clear matches won by both players and return 0xb3
+ If in a 2P game, if p2 scored more than sw2.5?4:3 points, and did not yet win 2 matches, return 0x9d
+ If in a 2P game, if p2 did not yet score more than sw2.5?4:3 points, return 0x89
+ If in a 1P game, if cpu scored more than sw2.5?4:3 points, return 0xee
+ If in a 1P game, if cpu did not yet score more than sw2.5?4:3 points, return 0xd9
0x81 - Increment score for P1, reset protection read suboffset and set protection read enable flag
- If in a 2P game, if p1 scored more than sw2.5?4:3 points, and won 2 matches, clear matches won by both players and return 0xa8
- If in a 2P game, if p1 scored more than sw2.5?4:3 points, and did not yet win 2 matches, return 0x92
- If in a 2P game, if p1 did not yet score more than sw2.5?4:3 points, return 0x80
- If in a 1P game, if p1 scored more than sw2.5?4:3 points, and won 2 matches, clear matches won by both players and return 0xe2
- If in a 1P game, if p1 scored more than sw2.5?4:3 points, and did not yet win 2 matches, return 0xe2
- If in a 1P game, if p1 did not yet score more than sw2.5?4:3 points, return 0xd0
+ If in a 2P game, if p1 scored more than sw2.5?4:3 points, and won 2 matches, clear matches won by both players and return 0xa8
+ If in a 2P game, if p1 scored more than sw2.5?4:3 points, and did not yet win 2 matches, return 0x92
+ If in a 2P game, if p1 did not yet score more than sw2.5?4:3 points, return 0x80
+ If in a 1P game, if p1 scored more than sw2.5?4:3 points, and won 2 matches, clear matches won by both players and return 0xe2
+ If in a 1P game, if p1 scored more than sw2.5?4:3 points, and did not yet win 2 matches, return 0xe2
+ If in a 1P game, if p1 did not yet score more than sw2.5?4:3 points, return 0xd0
0x83 - Increment score for BOTH PLAYERS, reset protection read offset and set protection read enable flag, return 0xbe
0x84 - Reset protection read suboffset and set protection read enable flag, return 0xc9
0xF0 - Reset protection read suboffset, return 0xf0 (sent on mcu timeout from z80 side?)
other - Echo (returns whatever the command byte was back to the z80 immediately)
-
+
MCU Commands Detail:
0x00 - Reset MCU (jumps to reset vector; does not return anything or set mcu->z80 semaphore)
0x01 - Read Spinner Position Counter for Player 1 (p1, bits 2 and 3 quadrature, counter range is clamped to 00-7f) OR Protection Read
- if $31 has bit 1 set
- jump to 239
- increment $32
- load a with $34
- add $33 to a
- transfer a to x
- load a with $x
- if a is 0
- jump to 247
- jump to 204, see below
- if a < 0x32
- jump to 24a
- increment $33
- load a with $34
- add $33 to a
- transfer a to x
- load a with $x
- if a == 0xFF
- jump to 25b
- load x with 0x10
- load a with [x+1] which is 0x11 (spinner 1 value)
- store a (value of $11) into $2a
- if $2a has bit 0 set
- jump to 269
- load a with 0x8B
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- load a with 0x8A
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise jump to 22a <- I believe this path is the 'protection succeeded' path, as it allows an offset of the first 256 bytes of the mcu rom to be read...
- store accum into $2e
- store $2e to the mcu->z80 latch
- otherwise jump to 204, see below
- 204:
- load x with 0x10
- load a with [x+1] which is 0x11 (spinner 1 value)
- store a (value of $11) into $2e
- store $2e to the mcu->z80 latch
+ if $31 has bit 1 set
+ jump to 239
+ increment $32
+ load a with $34
+ add $33 to a
+ transfer a to x
+ load a with $x
+ if a is 0
+ jump to 247
+ jump to 204, see below
+ if a < 0x32
+ jump to 24a
+ increment $33
+ load a with $34
+ add $33 to a
+ transfer a to x
+ load a with $x
+ if a == 0xFF
+ jump to 25b
+ load x with 0x10
+ load a with [x+1] which is 0x11 (spinner 1 value)
+ store a (value of $11) into $2a
+ if $2a has bit 0 set
+ jump to 269
+ load a with 0x8B
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ load a with 0x8A
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise jump to 22a <- I believe this path is the 'protection succeeded' path, as it allows an offset of the first 256 bytes of the mcu rom to be read...
+ store accum into $2e
+ store $2e to the mcu->z80 latch
+ otherwise jump to 204, see below
+ 204:
+ load x with 0x10
+ load a with [x+1] which is 0x11 (spinner 1 value)
+ store a (value of $11) into $2e
+ store $2e to the mcu->z80 latch
0x02 - Read Spinner Position Counter for Player 2 (p2, bits 3 and 2 quadrature, counter range is clamped to 00-7f)
- load x with 0x1a
- load accum with [x+1] which is 0x1b (spinner 2 value)
- store accum (value of $1b) into $2e
- store $2e to the mcu->z80 latch
+ load x with 0x1a
+ load accum with [x+1] which is 0x1b (spinner 2 value)
+ store accum (value of $1b) into $2e
+ store $2e to the mcu->z80 latch
0x04 - Read dipswitch array sw1 and send to z80
0x08 - Read dipswitch array sw2 and send to z80 and also write them to $3b
- same as command 0x04, but polls the other port, and also stores the result to $3b
+ same as command 0x04, but polls the other port, and also stores the result to $3b
0x20 - Reset quadrature counters to 0x38, clears protection read enable flag, return 0x38
- load a with 0x38
- load x with 0x10
- store a to $11
- load x with 0x1a
- store a to $1b
- clear $31
- store a into $2e
- store $2e to the mcu->z80 latch
+ load a with 0x38
+ load x with 0x10
+ store a to $11
+ load x with 0x1a
+ store a to $1b
+ clear $31
+ store a into $2e
+ store $2e to the mcu->z80 latch
0x40 Reset score and start 1P vs CPU game; returns number of points per game win based on sw2:3; clears counters and clears $3a
0x41 Reset score and start 2P game; returns number of points per game win based on sw2:3; clears counters and sets $3a bit 0
- clear $32
- clear $35
- clear $36
- clear $37
- clear $38
- if $3b has bit 4 clear (number of points per game dipswitch is set to 3)
- jump to 29c
- store 0x03 in $39
- goto in all cases:
- otherwise (number of points per game dipswitch is set to 4)
- store 0x04 in $39
- goto in all cases:
- in all cases:
+ clear $32
+ clear $35
+ clear $36
+ clear $37
+ clear $38
+ if $3b has bit 4 clear (number of points per game dipswitch is set to 3)
+ jump to 29c
+ store 0x03 in $39
+ goto in all cases:
+ otherwise (number of points per game dipswitch is set to 4)
+ store 0x04 in $39
+ goto in all cases:
+ in all cases:
****if command was 0x40: clears $31, $3a,
****if command was 0x41: clears $31, sets bit 0x01 of $3a
- store accum (value of $39) into $2e
- store $2e to the mcu->z80 latch
+ store accum (value of $39) into $2e
+ store $2e to the mcu->z80 latch
0x80 - Increment score for CPU/P2, reset protection read suboffset and set protection read enable flag
- if $3a has bit 0x01 set
- jump to 2ad
- set bit 1 of $31
- clear $33
- increment $36
- check if $36 == $39, if so
- jump to 2c2
- clear $36
- clear $35
- increment $38
- check if $38 == 0x02, if so
- jump to 2d7
- clear $38
- clear $37
- store 0xb3 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0x9d into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0x89 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise jump to 31b <- we're in a 1p game
- set bit 1 of $31
- clear $33
- increment $36
- check if $36 == $39, if so
- jump to 330
- store 0xee into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0xd9 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
+ if $3a has bit 0x01 set
+ jump to 2ad
+ set bit 1 of $31
+ clear $33
+ increment $36
+ check if $36 == $39, if so
+ jump to 2c2
+ clear $36
+ clear $35
+ increment $38
+ check if $38 == 0x02, if so
+ jump to 2d7
+ clear $38
+ clear $37
+ store 0xb3 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0x9d into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0x89 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise jump to 31b <- we're in a 1p game
+ set bit 1 of $31
+ clear $33
+ increment $36
+ check if $36 == $39, if so
+ jump to 330
+ store 0xee into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0xd9 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
0x81 - Increment score for P1, reset protection read suboffset and set protection read enable flag
- if $3a has bit 0x01 set
- jump to 2e4
- set bit 0x01 of $31
- clear $33
- increment $35
- check if $35 == $39, if so
- jump to 2f9
- clear $36
- clear $35
- increment $37
- check if $37 == 0x02, if so
- jump to 30e
- clear $38
- clear $37
- store 0xA8 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0x92 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0x80 to $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise jump to 339
- set bit 0x01 of $31
- clear $33
- increment $35
- check if $35 == $39, if so
- jump to 34e
- clear $35
- clear $36
- increment $37
- check if $37 == 0x02
- if so jump to 363
- clear $37
- clear $38
- store 0xE2 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0xE2 into $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
- otherwise
- store 0xd0 to $34
- clear $32
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
+ if $3a has bit 0x01 set
+ jump to 2e4
+ set bit 0x01 of $31
+ clear $33
+ increment $35
+ check if $35 == $39, if so
+ jump to 2f9
+ clear $36
+ clear $35
+ increment $37
+ check if $37 == 0x02, if so
+ jump to 30e
+ clear $38
+ clear $37
+ store 0xA8 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0x92 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0x80 to $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise jump to 339
+ set bit 0x01 of $31
+ clear $33
+ increment $35
+ check if $35 == $39, if so
+ jump to 34e
+ clear $35
+ clear $36
+ increment $37
+ check if $37 == 0x02
+ if so jump to 363
+ clear $37
+ clear $38
+ store 0xE2 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0xE2 into $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
+ otherwise
+ store 0xd0 to $34
+ clear $32
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
0x83 - Increment score for BOTH PLAYERS, reset protection read offset and set protection read enable flag, return 0xbe
- increment $35
- increment $36
- store 0xbe to $34
- clear $32
- clear $33
- set bit 1 of $31
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
+ increment $35
+ increment $36
+ store 0xbe to $34
+ clear $32
+ clear $33
+ set bit 1 of $31
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
0x84 - Reset protection read suboffset and set protection read enable flag, return 0xc9
- store 0xc9 to $34
- clear $32
- clear $33
- set bit 1 of $31
- store a (value of $34) into $2e
- store $2e to the mcu->z80 latch
+ store 0xc9 to $34
+ clear $32
+ clear $33
+ set bit 1 of $31
+ store a (value of $34) into $2e
+ store $2e to the mcu->z80 latch
0xF0 - Reset protection read suboffset, return 0xf0 (sent on mcu timeout from z80 side?)
- clear $32
- clear $33
- store a (0xF0) into $2e
- store $2e to the mcu->z80 latch
+ clear $32
+ clear $33
+ store a (0xF0) into $2e
+ store $2e to the mcu->z80 latch
other - Echo (returns whatever the command byte was back to the z80 immediately)
-
+
MCU idle quadrature read loop starts at 165
MCU reset vector is 120
The block of code between 100 and 120 is unknown.
-
+
MCU memory addresses known:
10 - cleared by reset, holds the player 1 raw quadrature inputs as last read in bits 2 and 3
11 - cleared by reset, holds the player 1 spinner position counter, clamped between 0x00 and 0x7f
@@ -711,7 +711,7 @@ WRITE8_MEMBER(superqix_state::bootleg_flipscreen_w)
39 - number of points being played for in total (3 or 4, based on sw2:5 dipswitch)
3a - bit 0: if set: 2P/VS game; if clear: 1P/CPU game
3b - contents of dipswitch 2; bit 0x10 (switch 5?) affects the value loaded to 39
-
+
The Prebillian/Hotsmash hardware seems to be an evolution of the arkanoid hardware in regards to the mcu:
arkanoid:
Port A[7:0] <> bidir comms with z80
diff --git a/src/mame/drivers/system16.cpp b/src/mame/drivers/system16.cpp
index 18e196e632a..3d19aead180 100644
--- a/src/mame/drivers/system16.cpp
+++ b/src/mame/drivers/system16.cpp
@@ -1830,7 +1830,7 @@ INPUT_PORTS_END
static INPUT_PORTS_START( ddcrewbl )
PORT_INCLUDE( astormbl )
-
+
PORT_MODIFY("SERVICE")
PORT_BIT( 0x01, IP_ACTIVE_LOW, IPT_COIN4 )
PORT_BIT( 0x02, IP_ACTIVE_LOW, IPT_COIN3 )
@@ -1864,7 +1864,7 @@ static INPUT_PORTS_START( ddcrewbl )
PORT_DIPSETTING( 0xc0, DEF_STR( Normal ) )
PORT_DIPSETTING( 0x40, DEF_STR( Hard ) )
PORT_DIPSETTING( 0x00, DEF_STR( Hardest ) )
-
+
PORT_MODIFY("P3")
PORT_BIT( 0x01, IP_ACTIVE_LOW, IPT_START3 )
PORT_BIT( 0x02, IP_ACTIVE_LOW, IPT_START4 )
diff --git a/src/mame/drivers/taito_b.cpp b/src/mame/drivers/taito_b.cpp
index ac947bd47c7..43519a63e72 100644
--- a/src/mame/drivers/taito_b.cpp
+++ b/src/mame/drivers/taito_b.cpp
@@ -1447,7 +1447,7 @@ static INPUT_PORTS_START( silentd ) /* World Version */
PORT_DIPNAME( 0x04, 0x04, "Friendly Fire" ) PORT_DIPLOCATION("SW2:3") // "hit of players" or "invincible player mode"
PORT_DIPSETTING( 0x04, DEF_STR( Off ) )
PORT_DIPSETTING( 0x00, DEF_STR( On ) )
- PORT_DIPNAME( 0x08, 0x08, "Power-Up at Stage Clear" ) PORT_DIPLOCATION("SW2:4") // "clear stage power-up"
+ PORT_DIPNAME( 0x08, 0x08, "Power-Up at Stage Clear" ) PORT_DIPLOCATION("SW2:4") // "clear stage power-up"
PORT_DIPSETTING( 0x00, DEF_STR( Off ) )
PORT_DIPSETTING( 0x08, DEF_STR( On ) )
PORT_DIPNAME( 0x10, 0x10, "Regain Power buy-in" ) PORT_DIPLOCATION("SW2:5") // If enabled player can use a credit to refill his HP and get a "rage" mode for few seconds
diff --git a/src/mame/drivers/taitosj.cpp b/src/mame/drivers/taitosj.cpp
index f5bc2f8ffc0..04a5f702d32 100644
--- a/src/mame/drivers/taitosj.cpp
+++ b/src/mame/drivers/taitosj.cpp
@@ -2485,15 +2485,15 @@ completely differently, at the whim of whoever copied the roms.
I'm guessing the bootlegs are mostly this set, but it is quite likely we are missing
dumps of other variations on this bootleg.
Examples of names:
-position - real name - name1 - name2
-ic1 "ea_20" - "9" - "EAS1"
-ic2 "ea_21" - "10" - "EAS2"
-ic3 "ea_22" - "11" - "EAS3"
-ic4 "ea_23" - "12" - "EAS4"
-ic5 "ea_24" - "13" - "EAS5"
-ic6 "ea_25" - "14" - "EAS6"
-ic7 "ea_26" - "15" - "EAS7"
-ic8 "ea_27" - "16" - "EAS8"
+position - real name - name1 - name2
+ic1 "ea_20" - "9" - "EAS1"
+ic2 "ea_21" - "10" - "EAS2"
+ic3 "ea_22" - "11" - "EAS3"
+ic4 "ea_23" - "12" - "EAS4"
+ic5 "ea_24" - "13" - "EAS5"
+ic6 "ea_25" - "14" - "EAS6"
+ic7 "ea_26" - "15" - "EAS7"
+ic8 "ea_27" - "16" - "EAS8"
real name - http://i.ebayimg.com/images/g/75kAAOSwXeJXfVvj/s-l1600.jpg
name1 - https://s7.postimg.org/lo6dxb09n/IMG_7573.jpg
diff --git a/src/mame/drivers/tecmo.cpp b/src/mame/drivers/tecmo.cpp
index 1c75761a5d9..bc286b25e47 100644
--- a/src/mame/drivers/tecmo.cpp
+++ b/src/mame/drivers/tecmo.cpp
@@ -1082,7 +1082,7 @@ ROM_END
vsync is 59.629Hz
hsync pulse is 5.3uS
vsync pulse is 8 raster lines
-
+
The non matching EPROM is a modified version of gw04-5s.rom with the following changes:
- offset 0x0A4A contains 0x6F instead of 0x1F
- offset 0x0A4E contains 0xAA instead of 0xFA
@@ -1090,7 +1090,7 @@ The non matching EPROM is a modified version of gw04-5s.rom with the following c
ROM_START( geminib )
ROM_REGION( 0x20000, "maincpu", 0 )
- ROM_LOAD( "G-2.6d", 0x00000, 0x10000, CRC(cd79c5b3) SHA1(355aae2346d49d14a801fad05d49376581d329c6) ) /* c000-ffff is not used */
+ ROM_LOAD( "G-2.6d", 0x00000, 0x10000, CRC(cd79c5b3) SHA1(355aae2346d49d14a801fad05d49376581d329c6) ) /* c000-ffff is not used */
ROM_LOAD( "gw05-6s.rom", 0x10000, 0x10000, CRC(5a6947a9) SHA1(18b7aeb0f0e2c396bc759118dd7c45fd6070b804) ) /* banked at f000-f7ff */
ROM_REGION( 0x10000, "soundcpu", 0 )
diff --git a/src/mame/drivers/vector06.cpp b/src/mame/drivers/vector06.cpp
index 99a8cbe9fde..c80be681c88 100644
--- a/src/mame/drivers/vector06.cpp
+++ b/src/mame/drivers/vector06.cpp
@@ -152,7 +152,7 @@ SLOT_INTERFACE_END
/* Machine driver */
static MACHINE_CONFIG_START( vector06, vector06_state )
/* basic machine hardware */
- MCFG_CPU_ADD("maincpu", I8080, 3000000) // actual speed is wrong due to unemulated latency
+ MCFG_CPU_ADD("maincpu", I8080, 3000000) // actual speed is wrong due to unemulated latency
// MCFG_CPU_ADD("maincpu", Z80, 3000000)
MCFG_CPU_PROGRAM_MAP(vector06_mem)
MCFG_CPU_IO_MAP(vector06_io)
diff --git a/src/mame/drivers/vt100.cpp b/src/mame/drivers/vt100.cpp
index 8a9798fd3ce..589a8530dc2 100644
--- a/src/mame/drivers/vt100.cpp
+++ b/src/mame/drivers/vt100.cpp
@@ -675,10 +675,10 @@ ROM_START( vt100ac ) // This is from the VT180 technical manual at http://www.bi
obvious entry points are 1000, 1016 (which is the first one hit, from f95), 1025, 112e, 1167, 11db, 136e, 1470, 1480, 16a9, 1719, 17e5
corresponding functions in vt100 roms are: WRITE ME
*/
-
+
ROM_REGION(0x1000, "avo", 0) // all switches on avo are open EXCEPT S2-3; does this map at 0xa000-0xcfff (mirrored) in maincpu space?
// are 184 and 185 an older version of the stp avo firmware?
- //NOTE: for both of these two avo roms, Pin 18 is positive enable CE, Pin 20 is negative enable /CE1, Pin 21 is negative enable /CE2,
+ //NOTE: for both of these two avo roms, Pin 18 is positive enable CE, Pin 20 is negative enable /CE1, Pin 21 is negative enable /CE2,
ROM_LOAD( "23-186e2.avo.e21", 0x0000, 0x0800, CRC(1592DEC1) SHA1(C4B8FC9FC0514E0CD46AD2DE03ABE72271CE460B)) // Label: "S 8218 // C69063 // 23186E2" @E21
ROM_LOAD( "23-187e2.avo.e17", 0x0800, 0x0800, CRC(C6D72A41) SHA1(956F9EB945A250FD05C76100B38C0BA381AB8FDE)) // Label: "S 8228 // C69062 // 23187E2" @E17
@@ -695,16 +695,16 @@ ROM_START( vt100ac ) // This is from the VT180 technical manual at http://www.bi
// expansion board for a vt100 with a processor on it and dma, intended to act as a ram/send buffer for the STP printer board.
// It can be populated with two banks of two eproms each, each bank either contains 2k or 4k eproms depending on the w2/w3 and w4/w5 jumpers.
// It also has two proms on the cpu board. I don't know if it is technically necessary to have this board installed if an STP module is installed, but due to the alt stp romset, it probably is.
- ROM_LOAD( "23-003e3-00.e10", 0x0000, 0x1000, NO_DUMP) // "EPROM 0" bank 0
- ROM_LOAD( "23-004e3-00.e4", 0x1000, 0x1000, NO_DUMP) // "EPROM 1" bank 0
- ROM_LOAD( "23-005e3-00.e9", 0x2000, 0x1000, NO_DUMP) // "EPROM 2" bank 1
- ROM_LOAD( "23-006e3-00.e3", 0x3000, 0x1000, NO_DUMP) // "EPROM 3" bank 1
- //ROM_REGION(0x0800, "avo",0)
- //ROM_LOAD( "23-???e2-00.e34", 0x0000, 0x0800, NO_DUMP) // ? second gfx rom?
- ROM_REGION(0x0400, "proms",0)
- ROM_LOAD( "23-312a1-07.e26", 0x0000, 0x0200, NO_DUMP) // "PROM A"; handles 8085 i/o? mapping (usart, timer, dma, comm, etc)
- ROM_LOAD( "23-313a1-07.e15", 0x0200, 0x0200, NO_DUMP) // "PROM B"; handles firmware rom mapping and memory size/page select; bit 0 = ram page, bits 1-3 unused, bits 4-7 select one eprom each
- */
+ ROM_LOAD( "23-003e3-00.e10", 0x0000, 0x1000, NO_DUMP) // "EPROM 0" bank 0
+ ROM_LOAD( "23-004e3-00.e4", 0x1000, 0x1000, NO_DUMP) // "EPROM 1" bank 0
+ ROM_LOAD( "23-005e3-00.e9", 0x2000, 0x1000, NO_DUMP) // "EPROM 2" bank 1
+ ROM_LOAD( "23-006e3-00.e3", 0x3000, 0x1000, NO_DUMP) // "EPROM 3" bank 1
+ //ROM_REGION(0x0800, "avo",0)
+ //ROM_LOAD( "23-???e2-00.e34", 0x0000, 0x0800, NO_DUMP) // ? second gfx rom?
+ ROM_REGION(0x0400, "proms",0)
+ ROM_LOAD( "23-312a1-07.e26", 0x0000, 0x0200, NO_DUMP) // "PROM A"; handles 8085 i/o? mapping (usart, timer, dma, comm, etc)
+ ROM_LOAD( "23-313a1-07.e15", 0x0200, 0x0200, NO_DUMP) // "PROM B"; handles firmware rom mapping and memory size/page select; bit 0 = ram page, bits 1-3 unused, bits 4-7 select one eprom each
+ */
ROM_END
#if 0
diff --git a/src/mame/drivers/wc90b.cpp b/src/mame/drivers/wc90b.cpp
index 2d9fe95eadd..4d3f0a0564e 100644
--- a/src/mame/drivers/wc90b.cpp
+++ b/src/mame/drivers/wc90b.cpp
@@ -385,7 +385,7 @@ static MACHINE_CONFIG_START( wc90b, wc90b_state )
MCFG_SOUND_ADD("ymsnd2", YM2203, YM2203_CLOCK)
MCFG_SOUND_ROUTE(ALL_OUTPUTS, "mono", 0.40)
-
+
MCFG_SOUND_ADD("msm", MSM5205, MSM5205_CLOCK)
MCFG_MSM5205_VCLK_CB(WRITELINE(wc90b_state, adpcm_int)) /* interrupt function */
MCFG_MSM5205_PRESCALER_SELECTOR(MSM5205_S96_4B) /* 4KHz 4-bit */
diff --git a/src/mame/drivers/x1.cpp b/src/mame/drivers/x1.cpp
index 6260e09d97d..67aabf6425d 100644
--- a/src/mame/drivers/x1.cpp
+++ b/src/mame/drivers/x1.cpp
@@ -1225,7 +1225,7 @@ void x1_state::set_current_palette()
m_palette->set_pen_color(addr|8, pal1bit(r), pal1bit(g), pal1bit(b));
}
-
+
// TODO: disabled for now, causes issues with Thunder Force. x1fdemo changes palette dynamically during initial logo.
// Likely it needs a video rewrite in order to make this to work correctly.
// machine().first_screen()->update_partial(machine().first_screen()->vpos());
diff --git a/src/mame/drivers/zn.cpp b/src/mame/drivers/zn.cpp
index c4ad04c3914..bcd027dd3e7 100644
--- a/src/mame/drivers/zn.cpp
+++ b/src/mame/drivers/zn.cpp
@@ -3783,7 +3783,7 @@ ROM_START( shngmtkb )
ROM_LOAD( "sh-03.220", 0x1400000, 0x400000, CRC(daaa4c73) SHA1(eb31d4cadd9eba3d3431f3f6ef880bb2effa0b9f) )
ROM_REGION( 0x8, "cat702_2", 0 )
- ROM_LOAD( "mg04", 0x000000, 0x000008, CRC(2711a75f) SHA1(400cd6f28826ba0ad1125eda21507e5ebf5caccf) )
+ ROM_LOAD( "mg04", 0x000000, 0x000008, CRC(2711a75f) SHA1(400cd6f28826ba0ad1125eda21507e5ebf5caccf) )
ROM_END
ROM_START( doapp )
@@ -3800,7 +3800,7 @@ ROM_START( doapp )
ROM_LOAD( "doapp-5.221", 0x1800000, 0x400000, CRC(e11e8b71) SHA1(b1d1b9532b5f074ce216a603436d5674d136865d) )
ROM_REGION( 0x8, "cat702_2", 0 )
- ROM_LOAD( "mg05", 0x000000, 0x000008, CRC(5748a4ca) SHA1(c88d73f6a646a9ddefdfd84cba70d591759c069f) )
+ ROM_LOAD( "mg05", 0x000000, 0x000008, CRC(5748a4ca) SHA1(c88d73f6a646a9ddefdfd84cba70d591759c069f) )
ROM_END
ROM_START( tondemo )
@@ -3932,11 +3932,11 @@ ROM_START( lpadv )
ROM_LOAD( "rp01.u0217", 0x800000, 0x400000, CRC(5be576e1) SHA1(e24a96d179016d6d65205079874b35500760a642) )
ROM_REGION( 0x8, "cat702_2", 0 )
- ROM_LOAD( "mg07", 0x000000, 0x000008, CRC(afec0e8e) SHA1(dc3c696181dfb5b7426d882094be5eee2619e2a5) )
+ ROM_LOAD( "mg07", 0x000000, 0x000008, CRC(afec0e8e) SHA1(dc3c696181dfb5b7426d882094be5eee2619e2a5) )
ROM_END
ROM_START( mfjump )
- TPS_BIOS
+ TPS_BIOS
ROM_REGION32_LE( 0x02800000, "bankedroms", 0 )
ROM_LOAD16_BYTE( "mfj-o.119", 0x0000001, 0x100000, CRC(0d724dc5) SHA1(2ba388fe6254c0cf3847fd173a414ee5ca31f4f4) )
@@ -3944,7 +3944,7 @@ ROM_START( mfjump )
ROM_LOAD( "mfj.216", 0x0400000, 0x400000, CRC(0d518dba) SHA1(100cd4d0a1e678e660336027f067a9a1f5cbad3e) )
ROM_REGION( 0x8, "cat702_2", 0 )
- ROM_LOAD( "mg14", 0x000000, 0x000008, CRC(5fa9a8c2) SHA1(d927760279ebda185fecf879e62ceefe938acd81) )
+ ROM_LOAD( "mg14", 0x000000, 0x000008, CRC(5fa9a8c2) SHA1(d927760279ebda185fecf879e62ceefe938acd81) )
ROM_END
ROM_START( tblkkuzu )
@@ -3956,7 +3956,7 @@ ROM_START( tblkkuzu )
ROM_LOAD( "tbk.u0216", 0x0400000, 0x400000, CRC(41f8285f) SHA1(3326ab83d96d51ed31fb5c2f30630ff480d45282) )
ROM_REGION( 0x8, "cat702_2", 0 )
- ROM_LOAD( "mg12", 0x000000, 0x000008, CRC(584e6ea2) SHA1(f60fb556090d31f0f0fa1f8d87815eff6d2498a4) )
+ ROM_LOAD( "mg12", 0x000000, 0x000008, CRC(584e6ea2) SHA1(f60fb556090d31f0f0fa1f8d87815eff6d2498a4) )
ROM_END
ROM_START( 1on1gov )
diff --git a/src/mame/includes/combatsc.h b/src/mame/includes/combatsc.h
index 2bcf0cf04d2..d1f4f7baa6c 100644
--- a/src/mame/includes/combatsc.h
+++ b/src/mame/includes/combatsc.h
@@ -67,7 +67,7 @@ public:
required_device<gfxdecode_device> m_gfxdecode;
required_device<palette_device> m_palette;
required_device<generic_latch_8_device> m_soundlatch;
-
+
optional_ioport_array<4> m_track_ports;
DECLARE_WRITE8_MEMBER(combatsc_vreg_w);
diff --git a/src/mame/includes/rollrace.h b/src/mame/includes/rollrace.h
index 4aa0d77a2f3..e18dfbf5e21 100644
--- a/src/mame/includes/rollrace.h
+++ b/src/mame/includes/rollrace.h
@@ -48,7 +48,7 @@ public:
DECLARE_WRITE8_MEMBER(cram_w);
TILE_GET_INFO_MEMBER(get_fg_tile_info);
void tilemap_refresh_flip();
-
+
DECLARE_PALETTE_INIT(rollrace);
virtual void machine_start() override;
virtual void video_start() override;
diff --git a/src/mame/layout/calspeed.lay b/src/mame/layout/calspeed.lay
index 9e6ce650f40..13d6bd41239 100644
--- a/src/mame/layout/calspeed.lay
+++ b/src/mame/layout/calspeed.lay
@@ -70,7 +70,7 @@
<bounds x="10.0" y="10.0" width="150.0" height="70.0" />
</text>
</element>
-
+
<element name="counter" defstate="0">
<simplecounter digits="3">
<color red="1.0" green="1.0" blue="1.0" />
@@ -96,7 +96,7 @@
<bezel name="lamp3" element="view3">
<bounds x="0.025" y="3.325" width="0.472" height="0.125" />
</bezel>
-
+
<bezel name="lamp4" element="lamp">
<bounds x="1.785" y="3.2" width="0.1" height="0.1" />
</bezel>
@@ -109,7 +109,7 @@
<bezel name="lamp7" element="lamp">
<bounds x="2.115" y="3.2" width="0.1" height="0.1" />
</bezel>
-
+
<bezel name="wheel" element="counter">
<bounds x="1.9" y="3.0" width="0.2" height="0.1" />
</bezel>
diff --git a/src/mame/layout/chessmst.lay b/src/mame/layout/chessmst.lay
index 61dd06ce73d..1c29ce7b78e 100644
--- a/src/mame/layout/chessmst.lay
+++ b/src/mame/layout/chessmst.lay
@@ -40,8 +40,8 @@
elseif enpassant and board[to.y][to.x] == 0 and board[from.y][from.x] == 6 and from.y == 5 and to.y == 6 and from.x ~= to.x and board[to.y - 1][to.x] == 12 then
enpassant_pos = {x = to.x, y = to.y - 1}
end
- if board[to.y][to.x] == 0 and from.x == to.x and ((board[from.y][from.x] == 6 and from.y == 2 and to.y == 4) or
- (board[from.y][from.x] == 12 and from.y == 7 and to.y == 5)) then
+ if board[to.y][to.x] == 0 and from.x == to.x and ((board[from.y][from.x] == 6 and from.y == 2 and to.y == 4) or
+ (board[from.y][from.x] == 12 and from.y == 7 and to.y == 5)) then
enpassant = true
else
enpassant = false
diff --git a/src/mame/layout/chessmstdm.lay b/src/mame/layout/chessmstdm.lay
index b5c8e57f345..f94fbd98b00 100644
--- a/src/mame/layout/chessmstdm.lay
+++ b/src/mame/layout/chessmstdm.lay
@@ -40,8 +40,8 @@
elseif enpassant and board[to.y][to.x] == 0 and board[from.y][from.x] == 6 and from.y == 5 and to.y == 6 and from.x ~= to.x and board[to.y - 1][to.x] == 12 then
enpassant_pos = {x = to.x, y = to.y - 1}
end
- if board[to.y][to.x] == 0 and from.x == to.x and ((board[from.y][from.x] == 6 and from.y == 2 and to.y == 4) or
- (board[from.y][from.x] == 12 and from.y == 7 and to.y == 5)) then
+ if board[to.y][to.x] == 0 and from.x == to.x and ((board[from.y][from.x] == 6 and from.y == 2 and to.y == 4) or
+ (board[from.y][from.x] == 12 and from.y == 7 and to.y == 5)) then
enpassant = true
else
enpassant = false
diff --git a/src/mame/layout/goldnpkr.lay b/src/mame/layout/goldnpkr.lay
index 8de80d76c65..aed1d99f990 100644
--- a/src/mame/layout/goldnpkr.lay
+++ b/src/mame/layout/goldnpkr.lay
@@ -145,4 +145,4 @@
<bounds x="0.0" y="3.45" width="0.40" height="0.24" />
</bezel>
</view>
-</mamelayout> \ No newline at end of file
+</mamelayout>
diff --git a/src/mame/layout/hyprdriv.lay b/src/mame/layout/hyprdriv.lay
index 18b247f6e7c..a79aea64d28 100644
--- a/src/mame/layout/hyprdriv.lay
+++ b/src/mame/layout/hyprdriv.lay
@@ -81,7 +81,7 @@
<bounds x="0.0" y="0.0" width="1.0" height="1.0" />
</text>
</element>
-
+
<element name="counter" defstate="0">
<simplecounter digits="3">
<color red="1.0" green="1.0" blue="1.0" />
@@ -107,7 +107,7 @@
<bezel name="lamp3" element="view3">
<bounds x="0.025" y="3.325" width="0.125" height="0.125" />
</bezel>
-
+
<bezel name="lamp4" element="lamp">
<bounds x="1.785" y="3.2" width="0.1" height="0.1" />
</bezel>
@@ -120,11 +120,11 @@
<bezel name="lamp7" element="lamp">
<bounds x="2.115" y="3.2" width="0.1" height="0.1" />
</bezel>
-
+
<bezel name="wheel" element="counter">
<bounds x="1.9" y="3.0" width="0.2" height="0.1" />
</bezel>
-
+
<bezel name="led4" element="led">
<bounds x="3.43" y="3.025" width="0.025" height="0.025" />
</bezel>
@@ -140,7 +140,7 @@
<bezel name="led0" element="led">
<bounds x="3.55" y="3.025" width="0.025" height="0.025" />
</bezel>
-
+
<bezel name="led9" element="led">
<bounds x="3.43" y="3.055" width="0.025" height="0.025" />
</bezel>
@@ -156,11 +156,11 @@
<bezel name="led5" element="led">
<bounds x="3.55" y="3.055" width="0.025" height="0.025" />
</bezel>
-
+
<bezel name="led10" element="led">
<bounds x="3.325" y="3.085" width="0.025" height="0.025" />
</bezel>
-
+
<bezel name="led11" element="led">
<bounds x="3.295" y="3.115" width="0.025" height="0.025" />
</bezel>
@@ -170,7 +170,7 @@
<bezel name="led13" element="led">
<bounds x="3.355" y="3.115" width="0.025" height="0.025" />
</bezel>
-
+
<bezel name="led14" element="led">
<bounds x="3.295" y="3.145" width="0.025" height="0.025" />
</bezel>
@@ -180,7 +180,7 @@
<bezel name="led16" element="led">
<bounds x="3.355" y="3.145" width="0.025" height="0.025" />
</bezel>
-
+
<bezel name="led17" element="led">
<bounds x="3.295" y="3.175" width="0.025" height="0.025" />
</bezel>
diff --git a/src/mame/layout/mp68a.lay b/src/mame/layout/mp68a.lay
index 01da245ef1b..01da245ef1b 100755..100644
--- a/src/mame/layout/mp68a.lay
+++ b/src/mame/layout/mp68a.lay
diff --git a/src/mame/layout/tcfballa.lay b/src/mame/layout/tcfballa.lay
index 786a85a6757..f84837b05ec 100644
--- a/src/mame/layout/tcfballa.lay
+++ b/src/mame/layout/tcfballa.lay
@@ -95,7 +95,7 @@
<bezel element="static_black"><bounds x="125" y="56" width="13" height="68" /></bezel>
<bezel element="static_black"><bounds x="145" y="56" width="13" height="68" /></bezel>
<bezel element="static_black"><bounds x="165" y="56" width="13" height="68" /></bezel>
-
+
<bezel name="9.7" element="seg"><bounds x="7" y="72" width="9" height="2" /></bezel>
<bezel name="8.7" element="seg"><bounds x="7" y="89" width="9" height="2" /></bezel>
<bezel name="7.7" element="seg"><bounds x="7" y="106" width="9" height="2" /></bezel>
diff --git a/src/mame/layout/vaportrx.lay b/src/mame/layout/vaportrx.lay
index d93ee1db645..c5dc95b5330 100644
--- a/src/mame/layout/vaportrx.lay
+++ b/src/mame/layout/vaportrx.lay
@@ -34,7 +34,7 @@
<bounds x="0.15" y="1.05" width="0.7" height="0.85" />
</text>
</element>
-
+
<element name="counter" defstate="0">
<simplecounter digits="3">
<color red="1.0" green="1.0" blue="1.0" />
@@ -54,7 +54,7 @@
<bezel name="lamp1" element="lamp">
<bounds x="0.05" y="3.05" width="0.2" height="0.4" />
</bezel>
-
+
<bezel name="wheel" element="counter">
<bounds x="1.9" y="3.0" width="0.2" height="0.1" />
</bezel>
diff --git a/src/mame/machine/dec_lk201.cpp b/src/mame/machine/dec_lk201.cpp
index 5a27acf421d..e9fb58aaaf0 100644
--- a/src/mame/machine/dec_lk201.cpp
+++ b/src/mame/machine/dec_lk201.cpp
@@ -273,19 +273,19 @@ Actual membrane part number (from later 6805-based LK201 keyboard): 54-15172
Matrix Rows to bit translation, from schematic page 20 ( http://bitsavers.trailing-edge.com/pdf/dec/terminal/lk201/MP01395_LK201_Schematic_Oct83.pdf )
Bit D0 - Column P3-3
- D1 - Column P3-7
- D2 - Column P3-8
- D3 - Column P3-12
- D4 - Column P2-8
- D5 - Column P1-2
- D6 - Column P3-11
- D7 - Column P1-3
+ D1 - Column P3-7
+ D2 - Column P3-8
+ D3 - Column P3-12
+ D4 - Column P2-8
+ D5 - Column P1-2
+ D6 - Column P3-11
+ D7 - Column P1-3
Keyboard Matrix
---------------
Row select
| Columns SwitchID by bit Columns Key by bit
-| D5 D7 D4 D0 D1 D2 D3 D6 D5 D7 D4 D0 D1 D2 D3 D6
+| D5 D7 D4 D0 D1 D2 D3 D6 D5 D7 D4 D0 D1 D2 D3 D6
V V V V V V V V V V V V V V V V V
P1-6 --- E99 E00 B01 C01 D01 E01 D99 --- N/C ` Z A Q 1 N/C
P1-7 --- G99 D00 B00 B02 C02 D02 E02 --- F1 TAB <> X S W 2
@@ -295,7 +295,7 @@ P1-11 --- G02 E04 A0406 B04 C04 D04 G03 --- F4 4 SPACE V F
P2-2 --- --- G05 B05 C05 D05 E05 G04 --- --- F6 B G T 5 N/C
P2-3 --- --- G06 B06 C06 D06 E06 G07 --- --- F7 N H Y 6 F8
P2-4 --- --- G09 B07 C07 D07 E07 G08 --- --- F10 M J U 7 F9
-P2-5 --- B9911 --- --- --- --- --- --- --- SHFT --- --- --- --- --- ---
+P2-5 --- B9911 --- --- --- --- --- --- --- SHFT --- --- --- --- --- ---
P2-6 --- --- G10 B08 C08 D08 E08 G11 --- --- N/C , K I 8 F11
P2-9 --- --- G13 B09 C09 D09 E09 G12 --- --- F13 . L O 9 F12
P2-10 --- G14 E10 B10 C10 D13 D10 E13 --- F14 0 / ; N/C P BKSP(DEL)
@@ -308,7 +308,7 @@ P3-9 --- --- G23 A23 C23 D23 E23 G22 --- --- F20 kRTN k, k-
--- = No matrix switch at all
N/C = switch present, but officially unused?
- */
+ */
PORT_START("KBD0") // Row P2-5
PORT_BIT( 0x01, IP_ACTIVE_HIGH, IPT_UNUSED )
diff --git a/src/mame/machine/mexico86.cpp b/src/mame/machine/mexico86.cpp
index a83e9131623..8c2cb1a5605 100644
--- a/src/mame/machine/mexico86.cpp
+++ b/src/mame/machine/mexico86.cpp
@@ -66,7 +66,7 @@ void mexico86_state::mcu_simulate( )
int i;
bool coin_curr;
UINT8 coin_in_read = ioport("IN0")->read() & 3;
-
+
// TODO: still needs Coinage B into account
for(int coin_idx = 0; coin_idx < 2; coin_idx++)
{
@@ -74,7 +74,7 @@ void mexico86_state::mcu_simulate( )
if (coin_curr && m_coin_last[coin_idx] == false)
{
UINT8 coinage_setting = (ioport("DSW0")->read() >> (coin_idx*2 + 4)) & 3;
-
+
// increase credits counter
switch(coinage_setting)
{
@@ -95,7 +95,7 @@ void mexico86_state::mcu_simulate( )
break;
}
-
+
m_protection_ram[0x0a] = 0x01; // set flag (coin inserted sound is not played otherwise)
}
m_coin_last[coin_idx] = coin_curr;
@@ -103,7 +103,7 @@ void mexico86_state::mcu_simulate( )
// Purge any coin counter higher than 9 TODO: is this limit correct?
if(m_protection_ram[0x01] > 9)
m_protection_ram[0x01] = 9;
-
+
m_protection_ram[0x04] = 0x3c | (coin_in_read ^ 3); // coin inputs
m_protection_ram[0x02] = BITSWAP8(ioport("IN1")->read(), 7,6,5,4,2,3,1,0); // player 1
diff --git a/src/mame/machine/naomibd.cpp b/src/mame/machine/naomibd.cpp
index 9fda3cf2f8a..b5c0c093181 100644
--- a/src/mame/machine/naomibd.cpp
+++ b/src/mame/machine/naomibd.cpp
@@ -36,8 +36,8 @@
per block it will repeatedly read only the first 16-bit word.
* bit 30 (mode bit 2)
- DMA_OFFSET: 0 = enable DMA (all cart types), enabled during trasfer only because there can be other devices which uses G1 bus DMA (comm.board, multiboard, etc)
- ROM_OFFSET:
+ DMA_OFFSET: 0 = enable DMA (all cart types), enabled during trasfer only because there can be other devices which uses G1 bus DMA (comm.board, multiboard, etc)
+ ROM_OFFSET:
"M2" type carts: 1 = select decryption/decompression device registers or its RAM space
"M1" type carts: ???
"M4" type carts: 1 = enable data decryption, for both PIO and DMA.
@@ -52,7 +52,7 @@
"M1" type carts: DMA_OFFSET 0 = enable decryptyon/decompression during DMA transfer, ROM_OFFSET - ROM size/mapping select similar to M2 cart type
"M4" type carts: no effect, ROM_OFFSET bit 29 always return 1 then read, used by BIOS to determine this cart is encrypted and require bit 30 set then read ROM header
- * bit 0 can be set for "M4" type carts, function unknown
+ * bit 0 can be set for "M4" type carts, function unknown
Normal address starts with 0xa0000000 to enable auto-advance and 8MB ROM addressing mode.
*/
diff --git a/src/mame/machine/ns10crypt.cpp b/src/mame/machine/ns10crypt.cpp
index ebbb01d4fa1..f13afc1e914 100644
--- a/src/mame/machine/ns10crypt.cpp
+++ b/src/mame/machine/ns10crypt.cpp
@@ -50,8 +50,8 @@ the end-of-ROM region, in what maybe is an attempt to hinder the
recognition/reconstruction of the encrypted data.
Most games do a single decryption run, so the process is only initialized once;
-however, at least four of them (mrdrilr2, gamshara, mrdrilrg & panikuru) do
-reinitialize the internal state of the decryption several times. As of 2016-09-16,
+however, at least four of them (mrdrilr2, gamshara, mrdrilrg & panikuru) do
+reinitialize the internal state of the decryption several times. As of 2016-09-16,
only mrdrilr2 (type-1) and gamshara (type-2) show signs
of doing it by writing to the triggering register; how the others two are triggering the
reinitializations is still unclear. mrdrilr2 does a reinitialization every time the address
@@ -171,7 +171,7 @@ ns10_type1_decrypter_device::ns10_type1_decrypter_device(device_type type, const
uint16_t ns10_type1_decrypter_device::decrypt(uint16_t cipherword)
{
uint16_t plainword = _mask ^ bitswap(cipherword,9,13,15,7,14,8,6,10,11,12,3,5,0,1,4,2);
-
+
uint16_t nbs =
((BIT(_counter, 4) ) << 15) ^
((BIT(cipherword, 2) ^ BIT(cipherword, 5) ) << 14) ^
@@ -187,8 +187,8 @@ uint16_t ns10_type1_decrypter_device::decrypt(uint16_t cipherword)
(((BIT(cipherword, 7) & BIT(_counter, 7)) ) << 2) ^
((BIT(_counter, 5) ) << 1) ^
(((BIT(cipherword, 7) | BIT(_counter, 1)) ) << 0);
- _mask = nbs
- ^ bitswap(cipherword, 6,11, 3, 1,13, 5,15,10, 2, 9, 8, 4, 0,12, 7,14)
+ _mask = nbs
+ ^ bitswap(cipherword, 6,11, 3, 1,13, 5,15,10, 2, 9, 8, 4, 0,12, 7,14)
^ bitswap(plainword , 9, 7, 5, 2,14, 4,13, 8, 0,15,10, 1, 3, 6,12,11)
^ 0xecbe;
++_counter;
diff --git a/src/mame/machine/ns10crypt.h b/src/mame/machine/ns10crypt.h
index 27bae23fe53..9f02335c469 100644
--- a/src/mame/machine/ns10crypt.h
+++ b/src/mame/machine/ns10crypt.h
@@ -25,13 +25,13 @@ public:
virtual uint16_t decrypt(uint16_t cipherword)=0;
virtual ~ns10_decrypter_device();
-
+
protected:
ns10_decrypter_device(device_type type, const machine_config &mconfig, const char *tag, device_t *owner, UINT32 clock);
-
+
virtual void init(int iv)=0;
virtual void device_start()override=0;
-
+
bool _active;
};
diff --git a/src/mame/machine/pc1512kb.h b/src/mame/machine/pc1512kb.h
index 35c51b99b65..b6f08a52327 100644
--- a/src/mame/machine/pc1512kb.h
+++ b/src/mame/machine/pc1512kb.h
@@ -85,7 +85,7 @@ private:
required_device<cpu_device> m_maincpu;
required_device<vcs_control_port_device> m_joy;
-
+
required_ioport m_y1;
required_ioport m_y2;
required_ioport m_y3;
diff --git a/src/mame/machine/taitosj.cpp b/src/mame/machine/taitosj.cpp
index 2ff4b8ae00a..29ce244bb62 100644
--- a/src/mame/machine/taitosj.cpp
+++ b/src/mame/machine/taitosj.cpp
@@ -59,9 +59,9 @@ WRITE8_MEMBER(taitosj_state::taitosj_bankswitch_w)
machine().bookkeeping().coin_lockout_global_w(~data & 1);
/* this is a bit of a hack, but works.
- Eventually the mixing of the ay1 outs and
- amplitude-overdrive-mute stuff done by
- bit 1 here should be done on a netlist.
+ Eventually the mixing of the ay1 outs and
+ amplitude-overdrive-mute stuff done by
+ bit 1 here should be done on a netlist.
*/
m_ay1->set_output_gain(0, (data & 0x2) ? 1.0 : 0.0); // 3 outputs for Ay1 since it doesn't use tied together outs
m_ay1->set_output_gain(1, (data & 0x2) ? 1.0 : 0.0);
diff --git a/src/mame/mame.lst b/src/mame/mame.lst
index f96c7c9a0ad..2ea25ce611d 100644
--- a/src/mame/mame.lst
+++ b/src/mame/mame.lst
@@ -29305,7 +29305,7 @@ pacuman // bootleg
paintrlr // 1981 bootleg
pengojpm // bootleg
pengopac // bootleg
-pinguinos // bootleg
+pinguinos // bootleg
piranha // GL
piranhah // hack
piranhao // GL
@@ -30190,8 +30190,8 @@ sonstwr2 //
wolfman //
@source:pg685.cpp
-pg685 //
-pg685oua12 //
+pg685 //
+pg685oua12 //
@@ -30800,7 +30800,7 @@ popeye // (c) 1982
popeyebl // bootleg
popeyef // (c) 1982
popeyeu // (c) 1982
-popeyej //
+popeyej //
skyskipr // (c) 1981
@source:popobear.cpp
@@ -32290,7 +32290,7 @@ fantzn2 // (c) 1988
hangonjr // (c) 1985
opaopa //
ridleofp // (c) 1986 Sega / Nasco
-slapshtr // (c) 1986 Sega
+slapshtr // (c) 1986 Sega
tetrisse // (c) 1988
transfrm // 834-5803 (c) 1986
@@ -35105,7 +35105,7 @@ spcteam //
@source:tecmo.cpp
backfirt // bootleg [(c) 1988 Tecmo]
gemini // (c) 1987 Tecmo
-geminib // bootleg
+geminib // bootleg
rygar // 6002 - (c) 1986 Tecmo
rygar2 // 6002 - (c) 1986 Tecmo
rygar3 // 6002 - (c) 1986 Tecmo
diff --git a/src/mame/video/combatsc.cpp b/src/mame/video/combatsc.cpp
index 0d41ebf332d..435567a86ba 100644
--- a/src/mame/video/combatsc.cpp
+++ b/src/mame/video/combatsc.cpp
@@ -431,15 +431,15 @@ UINT32 combatsc_state::screen_update_combatsc(screen_device &screen, bitmap_ind1
// scrollram [0x20]-[0x3f]: char enable (presumably bit 0 only)
if(m_scrollram[0x20 + i] == 0)
continue;
-
+
clip.min_y = i * 8;
clip.max_y = clip.min_y + 7;
-
+
// bit 3 of reg [1] selects if tiles are opaque or have transparent pen.
m_textlayer->draw(screen, bitmap, clip, m_k007121_1->ctrlram_r(space, 1) & 0x08 ? TILEMAP_DRAW_OPAQUE : 0, 0);
}
}
-
+
/* chop the extreme columns if necessary */
if (m_k007121_1->ctrlram_r(space, 3) & 0x40)
{
diff --git a/src/mame/video/rollrace.cpp b/src/mame/video/rollrace.cpp
index 6cfb13140fe..df8bea7154f 100644
--- a/src/mame/video/rollrace.cpp
+++ b/src/mame/video/rollrace.cpp
@@ -13,7 +13,7 @@ TILE_GET_INFO_MEMBER(rollrace_state::get_fg_tile_info)
{
int code = m_videoram[tile_index];
int color = m_colorram[(tile_index & 0x1f)*2+1] & 0x1f;
-
+
SET_TILE_INFO_MEMBER(RA_FGCHAR_BASE + m_chrbank,
code,
color,
@@ -23,7 +23,7 @@ TILE_GET_INFO_MEMBER(rollrace_state::get_fg_tile_info)
void rollrace_state::video_start()
{
m_fg_tilemap = &machine().tilemap().create(*m_gfxdecode, tilemap_get_info_delegate(FUNC(rollrace_state::get_fg_tile_info),this),TILEMAP_SCAN_ROWS,8,8,32,32 );
-
+
m_fg_tilemap->set_transparent_pen(0);
m_fg_tilemap->set_scroll_cols(32);
}
@@ -42,7 +42,7 @@ WRITE8_MEMBER(rollrace_state::cram_w)
// TODO: optimize
m_fg_tilemap->mark_all_dirty();
//for(int x = 0; x < 32; x++)
- // m_fg_tilemap->mark_tile_dirty(x + ((offset >> 1)*32));
+ // m_fg_tilemap->mark_tile_dirty(x + ((offset >> 1)*32));
}
else
m_fg_tilemap->set_scrolly(offset >> 1,data);
diff --git a/src/mame/video/seta2.cpp b/src/mame/video/seta2.cpp
index 0cf4432a534..9fab696a5ef 100644
--- a/src/mame/video/seta2.cpp
+++ b/src/mame/video/seta2.cpp
@@ -219,7 +219,7 @@ void seta2_state::draw_sprites(bitmap_ind16 &bitmap,const rectangle &cliprect)
// Sprites list
// When debugging, use m_spriteram here, and run mame -update_in_pause, i.e.:
-// UINT16 *buffered_spriteram16 = m_spriteram;
+// UINT16 *buffered_spriteram16 = m_spriteram;
UINT16 *buffered_spriteram16 = m_buffered_spriteram.get();
UINT16 *s1 = buffered_spriteram16 + 0x3000/2;
UINT16 *end = &buffered_spriteram16[m_spriteram.bytes()/2];
@@ -272,7 +272,7 @@ void seta2_state::draw_sprites(bitmap_ind16 &bitmap,const rectangle &cliprect)
shadow_depth = 3; // reelquak
gfx = m_gfxdecode->gfx(0);
break;
-// case 0x0300: // ??? (staraudi question bubble: pen %00011000 with shadow on!)
+// case 0x0300: // ??? (staraudi question bubble: pen %00011000 with shadow on!)
// unknown
case 0x0200: // 3bpp tiles? (-----210) (myangel "Graduate Tests")
shadow_depth = 3; // ?
@@ -511,7 +511,7 @@ void seta2_state::draw_rgbram(bitmap_ind16 &bitmap)
UINT32 seta2_state::staraudi_screen_update(screen_device &screen, bitmap_ind16 &bitmap, const rectangle &cliprect)
{
screen_update(screen, bitmap, cliprect);
-// draw_rgbram(bitmap);
+// draw_rgbram(bitmap);
return 0;
}
diff --git a/src/mame/video/superqix.cpp b/src/mame/video/superqix.cpp
index 80354f940a6..ab2be5fefb9 100644
--- a/src/mame/video/superqix.cpp
+++ b/src/mame/video/superqix.cpp
@@ -146,7 +146,7 @@ WRITE8_MEMBER(superqix_state::superqix_0410_w)
------10 tile bank
-----2-- bitmap page select
----3--- nmi enable/disable
- --54---- rom bank
+ --54---- rom bank
*/
if (data&0xc0) logerror("%04x: superqix_0410_w with invalid high bits: %02x\n",space.device().safe_pc(),data);
/* bits 0-1 select the tile bank */
diff --git a/src/osd/modules/input/input_rawinput.cpp b/src/osd/modules/input/input_rawinput.cpp
index f9d111f2f8e..0cddbad6acb 100644
--- a/src/osd/modules/input/input_rawinput.cpp
+++ b/src/osd/modules/input/input_rawinput.cpp
@@ -574,7 +574,7 @@ protected:
// convert name to utf8
std::string utf8_name = utf8_from_wstring(name.c_str());
-
+
// set device id to raw input name
std::string utf8_id = utf8_from_wstring(tname.get());
diff --git a/src/osd/modules/monitor/monitor_common.h b/src/osd/modules/monitor/monitor_common.h
index c021ebcfcb7..9529f8f900b 100644
--- a/src/osd/modules/monitor/monitor_common.h
+++ b/src/osd/modules/monitor/monitor_common.h
@@ -29,7 +29,7 @@ public:
std::shared_ptr<osd_monitor_info> pick_monitor(osd_options& options, int index) override;
std::shared_ptr<osd_monitor_info> monitor_from_handle(std::uint64_t handle) override;
-
+
int init(const osd_options& options) override;
void exit() override;
diff --git a/src/osd/modules/monitor/monitor_dxgi.cpp b/src/osd/modules/monitor/monitor_dxgi.cpp
index 6619bdc23f3..56fc48fd8c1 100644
--- a/src/osd/modules/monitor/monitor_dxgi.cpp
+++ b/src/osd/modules/monitor/monitor_dxgi.cpp
@@ -47,7 +47,7 @@ public:
// fetch the latest info about the monitor
m_name = utf8_from_wstring(desc.DeviceName);
-
+
m_pos_size = RECT_to_osd_rect(desc.DesktopCoordinates);
m_usuable_pos_size = RECT_to_osd_rect(desc.DesktopCoordinates);
m_is_primary = desc.AttachedToDesktop;
@@ -169,4 +169,4 @@ protected:
MODULE_NOT_SUPPORTED(dxgi_monitor_module, OSD_MONITOR_PROVIDER, "dxgi")
#endif
-MODULE_DEFINITION(MONITOR_DXGI, dxgi_monitor_module) \ No newline at end of file
+MODULE_DEFINITION(MONITOR_DXGI, dxgi_monitor_module)
diff --git a/src/osd/modules/monitor/monitor_sdl.cpp b/src/osd/modules/monitor/monitor_sdl.cpp
index ade1a3b1140..19c8537165f 100644
--- a/src/osd/modules/monitor/monitor_sdl.cpp
+++ b/src/osd/modules/monitor/monitor_sdl.cpp
@@ -145,7 +145,7 @@ private:
SDL_Rect sdl1, sdl2;
osdrect_to_sdlrect(rect1, sdl1);
osdrect_to_sdlrect(rect2, sdl2);
-
+
SDL_Rect intersection;
if (SDL_IntersectRect(&sdl1, &sdl2, &intersection))
return intersection.w + intersection.h;
@@ -158,4 +158,4 @@ private:
MODULE_NOT_SUPPORTED(sdl_monitor_module, OSD_MONITOR_PROVIDER, "sdl")
#endif
-MODULE_DEFINITION(MONITOR_SDL, sdl_monitor_module) \ No newline at end of file
+MODULE_DEFINITION(MONITOR_SDL, sdl_monitor_module)
diff --git a/src/osd/modules/monitor/monitor_win32.cpp b/src/osd/modules/monitor/monitor_win32.cpp
index 01fe69e9629..bc9088bd83d 100644
--- a/src/osd/modules/monitor/monitor_win32.cpp
+++ b/src/osd/modules/monitor/monitor_win32.cpp
@@ -139,4 +139,4 @@ private:
MODULE_NOT_SUPPORTED(win32_monitor_module, OSD_MONITOR_PROVIDER, "win32")
#endif
-MODULE_DEFINITION(MONITOR_WIN32, win32_monitor_module) \ No newline at end of file
+MODULE_DEFINITION(MONITOR_WIN32, win32_monitor_module)
diff --git a/src/osd/modules/render/drawbgfx.cpp b/src/osd/modules/render/drawbgfx.cpp
index e49dbded2f8..428d4f16cf3 100644
--- a/src/osd/modules/render/drawbgfx.cpp
+++ b/src/osd/modules/render/drawbgfx.cpp
@@ -166,19 +166,19 @@ inline bool sdlSetWindow(SDL_Window* _window)
}
bgfx::PlatformData pd;
-# if BX_PLATFORM_LINUX || BX_PLATFORM_BSD
+# if BX_PLATFORM_LINUX || BX_PLATFORM_BSD
pd.ndt = wmi.info.x11.display;
pd.nwh = (void*)(uintptr_t)wmi.info.x11.window;
-# elif BX_PLATFORM_OSX
+# elif BX_PLATFORM_OSX
pd.ndt = NULL;
pd.nwh = wmi.info.cocoa.window;
-# elif BX_PLATFORM_WINDOWS
+# elif BX_PLATFORM_WINDOWS
pd.ndt = NULL;
pd.nwh = wmi.info.win.window;
-# elif BX_PLATFORM_STEAMLINK
+# elif BX_PLATFORM_STEAMLINK
pd.ndt = wmi.info.vivante.display;
pd.nwh = wmi.info.vivante.window;
-# endif // BX_PLATFORM_
+# endif // BX_PLATFORM_
pd.context = NULL;
pd.backBuffer = NULL;
pd.backBufferDS = NULL;
diff --git a/src/osd/windows/window.cpp b/src/osd/windows/window.cpp
index a17fa3006ea..de245a231e0 100644
--- a/src/osd/windows/window.cpp
+++ b/src/osd/windows/window.cpp
@@ -1416,7 +1416,7 @@ osd_rect win_window_info::constrain_to_aspect_ratio(const osd_rect &rect, int ad
INT32 viswidth, visheight;
INT32 adjwidth, adjheight;
float pixel_aspect;
-
+
auto monitor = monitor_from_rect(&rect);
// Sometimes this gets called when monitors have already been torn down
diff --git a/src/tools/imgtool/filter.cpp b/src/tools/imgtool/filter.cpp
index 24bfacb5afe..6f8e083c599 100644
--- a/src/tools/imgtool/filter.cpp
+++ b/src/tools/imgtool/filter.cpp
@@ -61,7 +61,7 @@ const filter_getinfoproc filters[] =
filter_thombas128_getinfo,
filter_thomcrypt_getinfo,
filter_bml3bas_getinfo,
- filter_hp9845data_getinfo,
+ filter_hp9845data_getinfo,
nullptr
};
diff --git a/src/tools/imgtool/imgtool.cpp b/src/tools/imgtool/imgtool.cpp
index 2b432a75307..a85aa983882 100644
--- a/src/tools/imgtool/imgtool.cpp
+++ b/src/tools/imgtool/imgtool.cpp
@@ -239,7 +239,7 @@ const imgtool_module *imgtool_find_module(const char *modulename)
/*-------------------------------------------------
- imgtool_find_module - looks up a module
+ imgtool_find_module - looks up a module
-------------------------------------------------*/
const imgtool::library::modulelist &imgtool_get_modules()
diff --git a/src/tools/imgtool/library.h b/src/tools/imgtool/library.h
index 36ab6b4368a..4325d051233 100644
--- a/src/tools/imgtool/library.h
+++ b/src/tools/imgtool/library.h
@@ -401,8 +401,8 @@ public:
const modulelist &modules() { return m_modules; }
private:
- object_pool * m_pool;
- modulelist m_modules;
+ object_pool * m_pool;
+ modulelist m_modules;
// internal lookup and iteration
modulelist::iterator find(const char *module_name);
diff --git a/src/tools/imgtool/main.cpp b/src/tools/imgtool/main.cpp
index cc7de2298e1..532bdb1230e 100644
--- a/src/tools/imgtool/main.cpp
+++ b/src/tools/imgtool/main.cpp
@@ -740,7 +740,7 @@ static void listoptions(const util::option_guide &opt_guide, const char *opt_spe
for (auto iter = resolution.entries_begin(); iter != resolution.entries_end(); iter++)
{
const util::option_resolution::entry &entry = *iter;
- std::stringstream description_buffer;
+ std::stringstream description_buffer;
std::string opt_name = string_format("--%s", entry.identifier());
const char *opt_desc = entry.display_name();
diff --git a/src/tools/imgtool/modules/hp9845_tape.cpp b/src/tools/imgtool/modules/hp9845_tape.cpp
index a082860bcb5..3f4dc7d9429 100644
--- a/src/tools/imgtool/modules/hp9845_tape.cpp
+++ b/src/tools/imgtool/modules/hp9845_tape.cpp
@@ -2,110 +2,110 @@
// copyright-holders:F. Ulivi
/*********************************************************************
- hp9845_tape.cpp
-
- HP-9845 tape format
-
- This imgtool module manipulates HTI files. These are image files
- of the DC-100 tape cartridges that are simulated for the HP9845B
- driver.
- HP9845 filesystem for tapes has the following features:
- * File names are 1 to 6 characters long.
- * Case is significant in file names.
- * There is no file "extension", file type is encoded separately
- in file metadata.
- * There are 8 file types. File type is encoded in 5 bits.
- Only 8 out of the 32 possible values are valid.
- * This module handles the file type as a fake file extension.
- For example, a file named "TEST" having DATA type is get/put/shown
- as "TEST.DATA".
- * File type is deduced from host file extension when putting files
- into image. File type can be overridden by the "ftype" option.
- This table summarizes the file types.
-
- ftype Fake Type of file BASIC commands
- switch extension for this file type
+ hp9845_tape.cpp
+
+ HP-9845 tape format
+
+ This imgtool module manipulates HTI files. These are image files
+ of the DC-100 tape cartridges that are simulated for the HP9845B
+ driver.
+ HP9845 filesystem for tapes has the following features:
+ * File names are 1 to 6 characters long.
+ * Case is significant in file names.
+ * There is no file "extension", file type is encoded separately
+ in file metadata.
+ * There are 8 file types. File type is encoded in 5 bits.
+ Only 8 out of the 32 possible values are valid.
+ * This module handles the file type as a fake file extension.
+ For example, a file named "TEST" having DATA type is get/put/shown
+ as "TEST.DATA".
+ * File type is deduced from host file extension when putting files
+ into image. File type can be overridden by the "ftype" option.
+ This table summarizes the file types.
+
+ ftype Fake Type of file BASIC commands
+ switch extension for this file type
========================================================
- U BKUP "Database backup"
- No idea
- D DATA Generic record-based data file
- SAVE/GET/PRINT#/READ#
- P PROG Program file (tokenized BASIC & other data)
- STORE/LOAD
- K KEYS KEY file (definition of soft keys)
- STORE KEY/LOAD KEY
- T BDAT Binary data file
- ?
- A ALL Full dump of system state
- STORE ALL/LOAD ALL
- B BPRG Binary program file
- STORE BIN/LOAD BIN
- O OPRM Option ROM specific file
- ?
-
- * Files are always stored in units of 256-byte physical records.
- * An important metadata of files is WPR: Words Per Record. This
- is a numeric value that sets the length of each logical record of
- the file (in units of 16-bit words). It defaults to 128 (i.e.
- logical and physical records are the same thing). It can be
- set by the "wpr" option when putting files into the image.
- * There is no fragmentation map in the filesystem: each file
- always occupy a contiguous set of physical records. This fact
- could prevent the putting of a file into an image when there
- is no single block of free records big enough to hold the file
- even though the total amount of free space would be sufficient.
-
- Notes on commands
+ U BKUP "Database backup"
+ No idea
+ D DATA Generic record-based data file
+ SAVE/GET/PRINT#/READ#
+ P PROG Program file (tokenized BASIC & other data)
+ STORE/LOAD
+ K KEYS KEY file (definition of soft keys)
+ STORE KEY/LOAD KEY
+ T BDAT Binary data file
+ ?
+ A ALL Full dump of system state
+ STORE ALL/LOAD ALL
+ B BPRG Binary program file
+ STORE BIN/LOAD BIN
+ O OPRM Option ROM specific file
+ ?
+
+ * Files are always stored in units of 256-byte physical records.
+ * An important metadata of files is WPR: Words Per Record. This
+ is a numeric value that sets the length of each logical record of
+ the file (in units of 16-bit words). It defaults to 128 (i.e.
+ logical and physical records are the same thing). It can be
+ set by the "wpr" option when putting files into the image.
+ * There is no fragmentation map in the filesystem: each file
+ always occupy a contiguous set of physical records. This fact
+ could prevent the putting of a file into an image when there
+ is no single block of free records big enough to hold the file
+ even though the total amount of free space would be sufficient.
+
+ Notes on commands
=================
- **** dir command ****
- The format of the "attr" part of file listing is as follows:
- %c '*' if file has the protection bit set, else ' '
- %02x Hexadecimal value of file type (00-1f)
- %c '?' if file type is not valid, else ' '
- %4u Number of logical records
- %4u WPR * 2 (i.e. bytes per logical record)
- %3u First physical record of file
-
- **** get command ****
- A file can be extracted from an image with or without an explicit
- extension. If an extension is given, it must match the one corresponding
- to file type.
+ **** dir command ****
+ The format of the "attr" part of file listing is as follows:
+ %c '*' if file has the protection bit set, else ' '
+ %02x Hexadecimal value of file type (00-1f)
+ %c '?' if file type is not valid, else ' '
+ %4u Number of logical records
+ %4u WPR * 2 (i.e. bytes per logical record)
+ %3u First physical record of file
+
+ **** get command ****
+ A file can be extracted from an image with or without an explicit
+ extension. If an extension is given, it must match the one corresponding
+ to file type.
The "9845data" filter can be used on DATA files (see below).
- **** getall command ****
- Files are extracted with their "fake" extension.
+ **** getall command ****
+ Files are extracted with their "fake" extension.
- **** put command ****
- File type can be specified explicitly through the "ftype" option.
- If this option is "auto" (the default), type is deduced from file
- extension, if present. When extension is not given or it doesn't
- match any known type, file type is set to "DATA".
- WPR can be set through the "wpr" option. If it's 0 (the default),
- WPR is set to 128.
+ **** put command ****
+ File type can be specified explicitly through the "ftype" option.
+ If this option is "auto" (the default), type is deduced from file
+ extension, if present. When extension is not given or it doesn't
+ match any known type, file type is set to "DATA".
+ WPR can be set through the "wpr" option. If it's 0 (the default),
+ WPR is set to 128.
The "9845data" filter can be used on DATA files (see below).
- **** del command ****
- File extension is ignored, if present.
+ **** del command ****
+ File extension is ignored, if present.
- "9845data" filter
- =================
+ "9845data" filter
+ =================
- This filter can be applied to DATA files whose content is made
- of strings only. BASIC programs that are saved with "SAVE" command
+ This filter can be applied to DATA files whose content is made
+ of strings only. BASIC programs that are saved with "SAVE" command
have this format.
This filter translates a DATA file into a standard ASCII text file
and viceversa.
Keep in mind that this translation is NOT lossless because all
non-ASCII & non printable characters are substituted with spaces.
- This kind of characters must be removed because they may confuse
- the line-by-line reading of file when translating in the opposite
- direction.
- The 9845 system has the capability to insert formatting characters
- directly in the text strings to be displayed on screen. These
- characters set things like inverse video or underline.
- Turning a DATA file into a text file through this filter removes
- these special characters.
+ This kind of characters must be removed because they may confuse
+ the line-by-line reading of file when translating in the opposite
+ direction.
+ The 9845 system has the capability to insert formatting characters
+ directly in the text strings to be displayed on screen. These
+ characters set things like inverse video or underline.
+ Turning a DATA file into a text file through this filter removes
+ these special characters.
*********************************************************************/
#include <bitset>
@@ -114,74 +114,74 @@
#include "formats/imageutl.h"
// Constants
-#define SECTOR_LEN 256 // Bytes in a sector
-#define WORDS_PER_SECTOR (SECTOR_LEN / 2) // 16-bit words in a sector payload
-#define SECTORS_PER_TRACK 426 // Sectors in a track
-#define TRACKS_NO 2 // Number of tracks
-#define TOT_SECTORS (SECTORS_PER_TRACK * TRACKS_NO) // Total number of sectors
-#define DIR_WORD_0 0x0500 // First word of directories
-#define DIR_WORD_1 0xffff // Second word of directories
-#define DIR_LAST_WORD 0xffff // Last word of directories
-#define FIRST_DIR_SECTOR 1 // First directory sector
-#define SECTORS_PER_DIR 2 // Sectors per copy of directory
-#define MAX_DIR_ENTRIES 42 // And the answer is.... the maximum number of entries in the directory!
-#define DIR_COPIES 2 // Count of directory copies
-#define CHARS_PER_FNAME 6 // Maximum characters in a filename
-#define CHARS_PER_EXT 4 // Characters in file extension. Extension is encoded as file type, it's not actually stored in directory as characters.
-#define CHARS_PER_FNAME_EXT (CHARS_PER_FNAME + 1 + CHARS_PER_EXT) // Characters in filename + extension
-#define PAD_WORD 0xffff // Word value for padding
-#define FIRST_FILE_SECTOR (FIRST_DIR_SECTOR + SECTORS_PER_DIR * DIR_COPIES) // First file sector
-#define MAGIC 0x5441434f // Magic value at start of image file: "TACO"
-#define ONE_INCH_POS (968 * 1024) // 1 inch of tape in tape_pos_t units
-#define START_POS ((tape_pos_t)(72.25 * ONE_INCH_POS)) // Start position on each track
-#define DZ_WORDS 350 // Words in deadzone
-#define IRG_SIZE ONE_INCH_POS // Size of inter-record-gap: 1"
-#define IFG_SIZE ((tape_pos_t)(2.5 * ONE_INCH_POS)) // Size of inter-file-gap: 2.5"
-#define ZERO_BIT_LEN 619 // Length of "0" bits when encoded on tape
-#define ONE_BIT_LEN 1083 // Length of "1" bits when encoded on tape
-#define HDR_W0_ZERO_MASK 0x4000 // Mask of zero bits in word 0 of header
-#define RES_FREE_FIELD 0x2000 // Mask of "reserved free field" bit
-#define FILE_ID_BIT 0x8000 // Mask of "file identifier" bit
-#define SECTOR_IN_USE 0x1800 // Mask of "empty record indicator" (== !sector in use indicator)
-#define SIF_FILE_NO 1 // SIF file #
-#define SIF_FILE_NO_MASK 0x07ff // Mask of SIF file #
-#define SIF_FREE_FIELD 0 // SIF free field
-#define SIF_FREE_FIELD_MASK 0xf000 // Mask of SIF free field
-#define BYTES_AVAILABLE 0xff00 // "bytes available" field = 256
-#define BYTES_AVAILABLE_MASK 0xff00 // Mask of "bytes available" field
-#define BYTES_USED 0x00ff // "bytes used" field = 256
-#define BYTES_USED_MASK 0x00ff // Mask of "bytes used" field
-#define FORMAT_SECT_SIZE ((tape_pos_t)(2.85 * ONE_INCH_POS)) // Size of sectors including padding: 2.85"
-#define PAD_WORD_LENGTH (17 * ONE_BIT_LEN) // Size of PAD_WORD on tape
-#define PREAMBLE_WORD 0 // Value of preamble word
-#define WORDS_PER_SECTOR_W_MARGIN 256 // Maximum number of words in a sector with a lot of margin (there are actually never more than about 160 words)
-#define MIN_IRG_SIZE ((tape_pos_t)(0.066 * ONE_INCH_POS)) // Minimum size of IRG gaps: 0.066"
+#define SECTOR_LEN 256 // Bytes in a sector
+#define WORDS_PER_SECTOR (SECTOR_LEN / 2) // 16-bit words in a sector payload
+#define SECTORS_PER_TRACK 426 // Sectors in a track
+#define TRACKS_NO 2 // Number of tracks
+#define TOT_SECTORS (SECTORS_PER_TRACK * TRACKS_NO) // Total number of sectors
+#define DIR_WORD_0 0x0500 // First word of directories
+#define DIR_WORD_1 0xffff // Second word of directories
+#define DIR_LAST_WORD 0xffff // Last word of directories
+#define FIRST_DIR_SECTOR 1 // First directory sector
+#define SECTORS_PER_DIR 2 // Sectors per copy of directory
+#define MAX_DIR_ENTRIES 42 // And the answer is.... the maximum number of entries in the directory!
+#define DIR_COPIES 2 // Count of directory copies
+#define CHARS_PER_FNAME 6 // Maximum characters in a filename
+#define CHARS_PER_EXT 4 // Characters in file extension. Extension is encoded as file type, it's not actually stored in directory as characters.
+#define CHARS_PER_FNAME_EXT (CHARS_PER_FNAME + 1 + CHARS_PER_EXT) // Characters in filename + extension
+#define PAD_WORD 0xffff // Word value for padding
+#define FIRST_FILE_SECTOR (FIRST_DIR_SECTOR + SECTORS_PER_DIR * DIR_COPIES) // First file sector
+#define MAGIC 0x5441434f // Magic value at start of image file: "TACO"
+#define ONE_INCH_POS (968 * 1024) // 1 inch of tape in tape_pos_t units
+#define START_POS ((tape_pos_t)(72.25 * ONE_INCH_POS)) // Start position on each track
+#define DZ_WORDS 350 // Words in deadzone
+#define IRG_SIZE ONE_INCH_POS // Size of inter-record-gap: 1"
+#define IFG_SIZE ((tape_pos_t)(2.5 * ONE_INCH_POS)) // Size of inter-file-gap: 2.5"
+#define ZERO_BIT_LEN 619 // Length of "0" bits when encoded on tape
+#define ONE_BIT_LEN 1083 // Length of "1" bits when encoded on tape
+#define HDR_W0_ZERO_MASK 0x4000 // Mask of zero bits in word 0 of header
+#define RES_FREE_FIELD 0x2000 // Mask of "reserved free field" bit
+#define FILE_ID_BIT 0x8000 // Mask of "file identifier" bit
+#define SECTOR_IN_USE 0x1800 // Mask of "empty record indicator" (== !sector in use indicator)
+#define SIF_FILE_NO 1 // SIF file #
+#define SIF_FILE_NO_MASK 0x07ff // Mask of SIF file #
+#define SIF_FREE_FIELD 0 // SIF free field
+#define SIF_FREE_FIELD_MASK 0xf000 // Mask of SIF free field
+#define BYTES_AVAILABLE 0xff00 // "bytes available" field = 256
+#define BYTES_AVAILABLE_MASK 0xff00 // Mask of "bytes available" field
+#define BYTES_USED 0x00ff // "bytes used" field = 256
+#define BYTES_USED_MASK 0x00ff // Mask of "bytes used" field
+#define FORMAT_SECT_SIZE ((tape_pos_t)(2.85 * ONE_INCH_POS)) // Size of sectors including padding: 2.85"
+#define PAD_WORD_LENGTH (17 * ONE_BIT_LEN) // Size of PAD_WORD on tape
+#define PREAMBLE_WORD 0 // Value of preamble word
+#define WORDS_PER_SECTOR_W_MARGIN 256 // Maximum number of words in a sector with a lot of margin (there are actually never more than about 160 words)
+#define MIN_IRG_SIZE ((tape_pos_t)(0.066 * ONE_INCH_POS)) // Minimum size of IRG gaps: 0.066"
// File types
-#define BKUP_FILETYPE 0
-#define BKUP_ATTR_STR "BKUP"
-#define DATA_FILETYPE 1
-#define DATA_ATTR_STR "DATA"
-#define PROG_FILETYPE 2
-#define PROG_ATTR_STR "PROG"
-#define KEYS_FILETYPE 3
-#define KEYS_ATTR_STR "KEYS"
-#define BDAT_FILETYPE 4
-#define BDAT_ATTR_STR "BDAT"
-#define ALL_FILETYPE 5
-#define ALL_ATTR_STR "ALL"
-#define BPRG_FILETYPE 6
-#define BPRG_ATTR_STR "BPRG"
-#define OPRM_FILETYPE 7
-#define OPRM_ATTR_STR "OPRM"
+#define BKUP_FILETYPE 0
+#define BKUP_ATTR_STR "BKUP"
+#define DATA_FILETYPE 1
+#define DATA_ATTR_STR "DATA"
+#define PROG_FILETYPE 2
+#define PROG_ATTR_STR "PROG"
+#define KEYS_FILETYPE 3
+#define KEYS_ATTR_STR "KEYS"
+#define BDAT_FILETYPE 4
+#define BDAT_ATTR_STR "BDAT"
+#define ALL_FILETYPE 5
+#define ALL_ATTR_STR "ALL"
+#define BPRG_FILETYPE 6
+#define BPRG_ATTR_STR "BPRG"
+#define OPRM_FILETYPE 7
+#define OPRM_ATTR_STR "OPRM"
// Record type identifiers
-#define REC_TYPE_EOR 0x1e // End-of-record
-#define REC_TYPE_FULLSTR 0x3c // A whole (un-split) string
-#define REC_TYPE_EOF 0x3e // End-of-file
-#define REC_TYPE_1STSTR 0x1c // First part of a string
-#define REC_TYPE_MIDSTR 0x0c // Middle part(s) of a string
-#define REC_TYPE_ENDSTR 0x2c // Last part of a string
+#define REC_TYPE_EOR 0x1e // End-of-record
+#define REC_TYPE_FULLSTR 0x3c // A whole (un-split) string
+#define REC_TYPE_EOF 0x3e // End-of-file
+#define REC_TYPE_1STSTR 0x1c // First part of a string
+#define REC_TYPE_MIDSTR 0x0c // Middle part(s) of a string
+#define REC_TYPE_ENDSTR 0x2c // Last part of a string
// End-of-lines
#define EOLN (CRLF == 1 ? "\r" : (CRLF == 2 ? "\n" : (CRLF == 3 ? "\r\n" : NULL)))
@@ -196,13 +196,13 @@ typedef INT32 tape_pos_t;
* Directory entries
********************************************************************************/
typedef struct {
- UINT8 filename[ CHARS_PER_FNAME ]; // Filename (left justified, 0 padded on the right)
- bool protection; // File protection
- UINT8 filetype; // File type (00-1f)
- UINT16 filepos; // File position (# of 1st sector)
- UINT16 n_recs; // Number of records
- UINT16 wpr; // Word-per-record
- unsigned n_sects; // Count of sectors
+ UINT8 filename[ CHARS_PER_FNAME ]; // Filename (left justified, 0 padded on the right)
+ bool protection; // File protection
+ UINT8 filetype; // File type (00-1f)
+ UINT16 filepos; // File position (# of 1st sector)
+ UINT16 n_recs; // Number of records
+ UINT16 wpr; // Word-per-record
+ unsigned n_sects; // Count of sectors
} dir_entry_t;
/********************************************************************************
@@ -251,7 +251,7 @@ private:
static void wipe_sector(tape_word_t *s);
void dump_dir_sect(const tape_word_t *dir_sect , unsigned dir_sect_idx);
- void fill_and_dump_dir_sect(tape_word_t *dir_sect , unsigned& idx , unsigned& dir_sect_idx , tape_word_t w) ;
+ void fill_and_dump_dir_sect(tape_word_t *dir_sect , unsigned& idx , unsigned& dir_sect_idx , tape_word_t w) ;
void encode_dir(void);
bool read_sector_words(unsigned& sect_no , unsigned& sect_idx , size_t word_no , tape_word_t *out) const;
static bool filename_char_check(UINT8 c);
@@ -314,9 +314,9 @@ imgtoolerr_t tape_image_t::load_from_file(imgtool_stream *stream)
unsigned exp_sector = 0;
// Loader state:
- // 0 Wait for DZ
- // 1 Wait for sector data
- // 2 Wait for gap
+ // 0 Wait for DZ
+ // 1 Wait for sector data
+ // 2 Wait for gap
unsigned state;
tape_pos_t end_pos = 0;
for (unsigned track = 0; track < TRACKS_NO; track++) {
@@ -880,14 +880,14 @@ bool tape_image_t::filename_check(const UINT8 *filename)
}
static const char *const filetype_attrs[] = {
- BKUP_ATTR_STR, // 0
- DATA_ATTR_STR, // 1
- PROG_ATTR_STR, // 2
- KEYS_ATTR_STR, // 3
- BDAT_ATTR_STR, // 4
- ALL_ATTR_STR, // 5
- BPRG_ATTR_STR, // 6
- OPRM_ATTR_STR // 7
+ BKUP_ATTR_STR, // 0
+ DATA_ATTR_STR, // 1
+ PROG_ATTR_STR, // 2
+ KEYS_ATTR_STR, // 3
+ BDAT_ATTR_STR, // 4
+ ALL_ATTR_STR, // 5
+ BPRG_ATTR_STR, // 6
+ OPRM_ATTR_STR // 7
};
void tape_image_t::get_filename_and_ext(const dir_entry_t& ent , bool inc_ext , char *out , bool& qmark)
@@ -1261,7 +1261,7 @@ static imgtoolerr_t hp9845_tape_delete_file(imgtool_partition *partition, const
return IMGTOOLERR_SUCCESS;
}
-#define HP9845_WRITEFILE_OPTSPEC "W[0]-65535;T[0]-8"
+#define HP9845_WRITEFILE_OPTSPEC "W[0]-65535;T[0]-8"
OPTION_GUIDE_START(hp9845_write_optguide)
OPTION_INT('W' , "wpr" , "Words per record")